自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(1)
  • 资源 (3)
  • 收藏
  • 关注

原创 1.FPGA流水灯

好久没用FPGA了,该忘记的都忘记了。调试了一下流水灯实验没有通过,通过SignalTapII发现是“else if(counter == 24'd100_0000)”写成了24'd1000_0000超出了计数器的范围。导致只运行到复位灯点亮。module flow_led( input sys_clk, input sys_rst_n, o...

2019-03-26 22:13:21 373

Cadence高速电路板设计与仿真 信号与电源完整性分析 第5版

CADENCE软件使用,以及仿真。cadence是一款很好用的功能强大的电路设计软件

2018-08-25

Cadence Allegro实战攻略与高速PCB设计

比较适合入门,讲解比较详细。不过画板这种东西还是找个具体的项目实践。

2017-12-21

Verilog HDL

经典Verilog HDL FPGA的硬件描述语言 适合初学者

2014-12-24

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除