自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(76)
  • 收藏
  • 关注

原创 bash script example

https://www.shellscript.sh/index.htmlhttps://linuxconfig.org/bash-scripting-tutorialhttps://www.tecmint.com/basic-shell-programming-part-ii/#example1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~

2017-11-11 08:16:20 1699

原创 IC 软件使用注意事项

DISPLAY变量设置 synopsys 新版工具GUI本地启动失败问题,我目前遇到icc_shell -gui启动的时候 ,出现如下提示,然后就没有然后了 $ icc_shell -gui IC Compiler (TM) IC Compiler-PC (TM)

2017-07-16 11:31:29 1315

原创 数据处理常用网站

http://archive.ics.uci.edu/ml/machine-learning-databases/adult/

2017-06-26 18:06:22 939

原创 一些常用的软件

公式编辑器: http://www.mathtype.cneverything(windows本地文件名搜索) www.voidtools.com百度硬盘(windows本地文件内容检索) http://xiazai.zol.com.cn/detail/10/98619.shtmlMobaXterm(Windows上的linux登陆工具 + Windows上的GNU环境) 可以让你在w

2017-06-23 20:08:47 2022 1

原创 [DFT] OCC(On-Chip Clocking)电路的实现

OCC(On-Chip Clocking)电路的实现在做SCAN的时候,由于ATE时钟速度和芯片port的传输速度的限制,导致ATE无法向片传输高速时钟。但是,芯片内部需要 进行At Speed 测试的时候,用到和system mode一致的时钟频率进行测试。此时,需要由芯片内部自己产生测试时钟。在capture的时候,对于内部寄存器来说,到达clock pin上的时钟波形如int

2017-06-17 12:27:35 14728 2

原创 一些有用的网站:

eetop http://bbs.eetop.cn/sysnopsys的training网站: 这里面包含了sysnopsys的各种training资料和workshop的文件。但是,需要账号登录才能下载。 https://www.synopsys.com/support/training.html 谷粉搜搜: 谷歌搜索的镜像网站,当然搜索的结果当中会有一些flash的广告。 h

2017-06-17 07:47:57 877

原创 [TetraMAX]set_delay -launch_cycle last_shift 的含义

2017-06-17 07:28:11 929

原创 windows上面画时序图(timing chart)的工具

http://timingeditor.sourceforge.net/ https://sourceforge.net/projects/timingeditor/ http://www.ilovefreesoftware.com/22/windows/productivity/timingeditor-timing-diagram.html

2017-06-16 07:52:05 5127

转载 Integrated Clock Gating Cell

Integrated Clock Gating CellClock gating is a common technique for reducing clock power by shutting off the clock to modules by a clock enable signal.Clock gating functionally requires only

2017-06-13 22:26:28 3954

原创 ATPG中的primitives

TEST-T> report_primitives -summary Gate Summary Report ----------------------------------------------- #primitives 452298 #primary_inputs

2017-06-13 22:25:38 1060

转载 TCL info命令

info命令info命令提供了查看 TCL 解释器信息的手段, 它有超过一打的选项, 详细说明请参考下面几节.11.2.1 变量信息info命令的几个选项提供了查看变量信息的手段.info exists varName: 如果名为varName的变量在当前上下文(作为全局或局部变量)存在, 返回 1, 否则返回 0.info globals ?pattern?: 如

2017-06-12 23:42:35 3743

转载 Writing Aliases in csh and tcsh Simple aliases The csh and tcsh shells provide an alias command th

Writing Aliases in csh and tcshSimple aliasesThe csh and tcsh shells provide an alias command that allows you to make up new commands as abbreviations for longer, more complex commands. For ex

2017-06-11 22:09:50 320

原创 memory latency

memory的latency的计数单位是cycles

2017-06-07 23:36:41 890

翻译 Speed vs Latency: Why CAS latency isn't an accurate measure of memory performance

Memory (DRAM) performance is all about the relationship between speed and latency. While the two are closely related, they're not connected in the way you might think. Here's how speed and latency are

2017-06-07 23:34:29 767

原创 awk 笔记

打印倒数第二列 cat a.txt | awk ‘{print $(NF-1)}’)

2017-06-05 23:18:10 373

原创 Translating Compressed Scan Patterns to Standard Scan Mode Patterns.

Translating Compressed Scan Patterns to Standard Scan Mode Patterns.You can use the TetraMAX tool to convert compressed scan mode patterns to standard scan mode patterns.The translation is performed by

2017-06-01 23:14:20 794

转载 【答疑释惑】Linux下面tcsh和bash的区别

【答疑释惑】Linux下面tcsh和bash的区别程序员互动联盟在我们的公众号里面遇到有人问tcsh和bash的区别:正如小编回复的那样,这个问题其实不用太去纠结,拿到一个常用的去学习就行了。不过这里还是大致说下这两个东西。首先我们要知道什么是shell。shell提供了用户与内核进行交互操作的一种接口。它接收用户输入的命令并把它

2017-05-30 14:34:07 8726

转载 csh和tcsh

csh和tcshEDACAD我们都知道IC设计中,经常试用C Shell或者tcsh,那么这两个直接到底有什么差别呢?首先,我们登陆Linux系统查看# which tcsh/usr/bin/tcsh# which csh/usr/bin/csh# ls -al /usr/bin/cshlrwxrwxrwx 1

2017-05-30 12:12:32 5012 1

转载 芯片测试太耗时?新一代TetraMAX II工具将运行速度提高一个数量级

芯片测试太耗时?新一代TetraMAX II工具将运行速度提高一个数量级2016年7月20日Franklin ZhaoTetraMAX II将运行速度提高一个数量级,确保在初步硅晶样品首次用于测试时将向量准备就绪。此外,TetraMAX II生成的向量数量减少25%,使IC设计团队能够缩短测试硅片的时间并减低成本,而且还能应汽车等特殊市场

2017-05-30 12:04:40 1784

转载 ATPG之debug

ATPG之debug转自:http://www.blogbus.com/bb2hh-logs/60237492.html Author:pythonlong以下根据资料和个人体会整理,如果错误,疑问欢迎请指正,讨论!!ATPG debug要熟悉TetraMAX工具的一些功能。STIL文件中定义的各种procedure与dft测试原理的对照。

2017-05-30 11:59:31 6461 1

转载 带你秒懂STIL文件

带你秒懂STIL文件2017-05-04 芯司机 STIL?这是什么鬼?我为什么要谈这玩意儿?相信很多工程师,特别是刚入行或准备入行的兄弟们或多或少听过测试相关的东西。如果你想做DFT工程师的,测试工程师的,而对于设计/验证工程师们如果能了解下这个玩意儿,在和DFT/测试工程师聊技术聊测试pattern的时候就不会一脸懵逼了。好了,言归正传。ST

2017-05-30 11:55:28 7735 2

转载 SPICE简史

SPICE简史SPICE简史(1)-SPICE的诞生如今,每一天都有不知其数的半导体芯片设计公司与设计验证工程师,在用着电路仿真软件SPICE。SPICE广泛应用在仿真模拟电路(例如运放OpAmp,能隙基准稳压电源BandgapReference,数模/模数转换AD/DA等),混合信号电路(例如锁相环PLL,存储器SRAM/dRAM,高速输入/输出接口high-spee

2017-05-30 10:41:47 2167

转载 ASIC设计中各个阶段需要注意的问题

ASIC设计中各个阶段需要注意的问题ASIC的复杂性不断提高,同时工艺在不断地改进,如何在较短的时间内开发一个稳定的可重用的ASIC芯片的设计,并且一次性流片成功,这需要一个成熟的ASIC的设计方法和开发流程。本文结合NCverilog,DesignCompile,Astro等ASIC设计所用到的EDA软件,从工艺独立性、系统的稳定性、复杂性的角度对比各种ASIC的设计方法,介绍了在编

2017-05-30 09:45:14 1834

转载 “火山论剑”之奔跑吧SCAN - 浅谈DC/AC SCAN测试

“火山论剑”之奔跑吧SCAN - 浅谈DC/AC SCAN测试2015-07-08 KevinHe BriteASICSMIC-ASIC原创,转载请注明SCAN技术,也就是ATPG技术-- 测试std-logic, 主要实现工具是:产生ATPG使用Mentor的 TestKompress和synopsys TetraMAX;插入scan chain主要使用s

2017-05-30 09:34:33 4581

转载 ATPG之STIL格式说明

ATPG之STIL格式说明 ATPG之STILSTIL test protocol file(.SPF)转自: http://www.blogbus.com/bb2hh-logs/60233278.htmlAuthor:pythonlongstil文件是atpg的基础,用好atpg工具当然要熟悉stil格式。下面是基本说明:)

2017-05-30 09:27:49 13239 3

转载 原来IC是这样设计的,竟然90%的人都不知道!

原来IC是这样设计的,竟然90%的人都不知道导读:去年11月份,全球三大EDA工具软件厂商巨头之一的Mentor Graphics被西门子以45亿美元现金方式收购,引起业内不少关注。今天,三大巨头之一的Cadence发布了业界首款已通过产品流片的第三代并行仿真平台Xcelium™。然而,你是不是不知道EDA在IC设计中有多重要,你是不是对EDA行业及这三大EDA工具厂商还不

2017-05-29 23:05:14 2772

转载 【CV2】如何理解Xcelium的多核仿真

【CV2】如何理解Xcelium的多核仿真Cadence技术研讨会系列如何理解Xcelium的多核仿真作者 @吴杉更快的需求提升仿真速度,一直是各EDA厂商努力的目标,原因自然都是Time to Market。但是,既然已经有了非常快的硬件仿真器(如Z1),以及比非常快更快的FPGA原型验证环境(如S1),为什么还要提

2017-05-29 21:06:16 6420

转载 言简意赅介绍:数字IC设计基本流程和所使用的工具

言简意赅介绍:数字IC设计基本流程和所使用的工具Original 2016-04-06 fanstics EETOP1. 前端的verilog代码编写。2. NOAVS的Debussy和NLint 用于做语法的检查。3. synopsys的vcs或者cadence的nc-verilog是主流的simulation软件。4. 编写constraint-file

2017-05-29 20:59:14 3147

转载 IC设计完整流程及工具简述

IC设计完整流程及工具简述IC的设计过程可分为两个部分,分别为:前端设计(也称逻辑设计)和后端设计(也称物理设计),这两个部分并没有统一严格的界限,凡涉及到与工艺有关的设计可称为后端设计。前端设计的主要流程1、规格制定芯片规格,也就像功能列表一样,是客户向芯片设计公司(称为Fabless,无晶圆设计公司)提出的设计要求,包括芯片需要达到的

2017-05-29 20:54:55 1200

转载 “火山论剑”之且用且珍惜- 浅说DFT工程师三大法宝的使用

“火山论剑”之且用且珍惜- 浅说DFT工程师三大法宝的使用2014-12-17 Kevin BriteASIC众所周知,芯片主要由三大部分构成。 芯片示例-可见下图1、 与电路板和其他芯片的接口-IO pad 2、存放程序的空间-ram和rom 3 、搭建逻辑电路的基本组件 –标准逻辑单元 我们DFT工程师所有的工作的目的只有

2017-05-29 20:45:48 1100 1

转载 纯干货分享:CCD图像传感器知识全解,超详细!

纯干货分享:CCD图像传感器知识全解,超详细!今天给大家介绍CCD图像传感器,关注我们的朋友会很明显的知道,鼎易鸿基&万酷电子在介绍产品扫描枪的时候会有这样一句话“采用工业级高性能线性CCD影像识别技术”,这是CCD图像传感器应用的一方面,今天我们就来细说一下关于CCD图像传感器。CCD(charge coupled devices)即电荷耦合器件,是70年代发展起来的新型半导

2017-05-29 20:31:17 18118 6

转载 图像传感器种类

图像传感器种类2017-01-11 讯维官方公众号概念  图像传感器,是组成数字摄像头的重要组成部分。根据元件的不同,可分为CCD(Charge Coupled Device,电荷耦合元件)和CMOS(Complementary Metal-Oxide Semiconductor,金属氧化物半导体元件)两大类。   CCD是应用在摄影摄像方面的高端技术元

2017-05-29 20:27:34 3504

转载 索尼CMOS图像传感器十年奋斗史

索尼CMOS图像传感器十年奋斗史Original 2016-08-01 Corsair 爱活网过去十年是CIS(CMOS Image Sensor,CMOS图像传感器)飞速发展的十年,索尼的半导体部门正是借此崛起,根据Yole的报告,索尼坐稳了全球第一大CIS供应商位置——即使不算刚刚收购的东芝,销售额也达到了35%、出货量更是高达50%,超过第二名三星、第三名Omnivisi

2017-05-29 19:56:33 7690

转载 CMOS图像传感器产业现状-2016版

CMOS图像传感器产业现状-2016版Original 2016-06-04 麦姆斯咨询 MEMS新功能正推动CMOS图像传感器产业变革,未来五年复合年增长率为10.4%,2021年市场规模将达到188亿美元。超越100亿美元:CMOS图像传感器产业保持高速增长在移动设备和汽车应用的驱动下,2015年~2021年,

2017-05-29 19:54:01 625

原创 索尼新型CMOS图像传感器内置偏振元件

索尼新型CMOS图像传感器内置偏振元件2016-12-28 MEMS索尼在2016 IEEE国际电子器件会议(IEDM 2016)介绍了一款内置偏振元件的新型背照式CMOS图像传感器。 在普通偏振相机上,成像元件和偏振元件是分开的,偏振元件放在位于CMOS图像传感器受光部上方的片上透镜(On-chip lens)和外置保护玻璃之间。而此次发布的新型CMO

2017-05-29 19:48:18 2769

转载 图像传感器的市场状况和主要厂商

图像传感器的市场状况和主要厂商新功能正推动CMOS图像传感器产业变革,未来五年复合年增长率为10.4%,2021年市场规模将达到188亿美元。行业调研机构Yole认为CMOS图像传感器产业将保持高速增长趋势。智能手机中的摄像头数量增长将消除智能手机出货量增长缓慢带来的影响。双摄像头和3D摄像头将对CMOS图像传感器的出货量产生重要影响。  

2017-05-29 19:15:36 9931

转载 TCL笔记

7. 过程(procedure)TCL 支持过程的定义和调用, 在 TCL 中, 过程可以看作是用 TCL 脚本实现的命令, 效果与 TCL 的固有命令相似. 我们可以在任何时候使用proc命令定义自己的过程, TCL 中的过程类似于 C 中的函数.7.1 过程定义和返回值TCL 中过程是由proc命令产生的. 例如:% proc add {x y } {expr $x

2017-05-29 19:05:43 857

原创 vim相关的一些比较好的帖子

Vim 起步的五个技巧: https://linux.cn/article-7643-1.htmlVim新手节省时间的10多个小技巧 http://9iphp.com/linux/time-saving-tips-for-unix-vim-beginners.html学 Vim 时希望早点知道的建议 http://blog.jobbole.com/103343/超过130个你需要了解的vim命

2017-05-09 20:46:48 280

原创 Removal Timing Check & Recovery Timing Check

Revoval timing check 类似于setup timing check。 Recovery Timing Check类似于hold timing check。Removal Timing Check & Recovery Timing Check 针对的是异步reset与clock之间的关系。

2017-03-18 14:42:42 6723

原创 SVN相关的笔记

SVN设置忽略文件列表Copy自:https://my.oschina.net/shelllife/blog/142257修改版本库的相关属性 $ svn propedit svn:ignore dir其中 dir 就是你所想设置过滤文件列表的目录,执行命令之后,会出现编辑界面,按照空格将你想过滤文件(通常使用通配符的方式)以空格分开,保存退出即可。这个属性是针对版本库进行的修改,因此需要提交

2017-03-04 09:30:36 450

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除