自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

星旭的博客

好好学习,天天向上

  • 博客(112)
  • 资源 (12)
  • 收藏
  • 关注

原创 虚拟机ubuntu16.04系统使用笔记(持续更新。。。)

2019.08.17使用笔记发现ubuntu16.04系统在VMware12上不能完美运行,会出现错误的情况,换成VMware15后终于正常了。安装上的ubuntu16.04网卡显示的名字不是eth0,网上有说是因为没有网卡驱动,其实ubuntu16.04默认网卡驱动是有的;要想变成eth0,可以使用如下的方法:https://blog.csdn.net/wenwenxiong/arti...

2019-08-17 21:57:22 257

原创 zynq学习相关资料链接(持续更新。。。)

http://bbs.elecfans.com/jishu_485735_1_1.html (Z-turn Board试用体验】由PS向PL提供时钟信号(转载))

2019-07-16 10:22:12 2668 3

原创 vivado导出时序报告为excel文件的方法

3、在新打开的timing窗口中,选择setup或者hold,选中一条路径右键,点击export to spreadsheet,此时就可以存为table.xlsx文件。1、打开implementation下的report timing summary。2、选择要看的时钟右键点击report_timing。

2024-01-18 09:43:51 559

原创 程控电源GDP-3303D/S系列用上位机循环控制上下电的方法

2.波特率设置为9600,加回车换行勾选上,打开扩展窗口,循环发送串口指令即可。1.准备好sscom.exe串口调试助手。

2023-12-27 15:56:39 413

原创 基于FPGA的简易BPSK和QPSK

7、ROM1是生成QPSK,128个点要输出2M的速率,那么采样的时钟得为256M,所以锁相环生成256M。5、my_pll是生成256M的时钟作为载波,因为sin和cos信号的ROM做了256个点;QPSK有4个相位,分别是00:1π/4,01:3π/4,11:5π/4,10:7π/4。将1bit的m序列转换到50M时钟下的2bit M序列数据(就有4个象限);3、m_generator M序列的生成,输出速率为500Kbps。6、ROM是生成BPSK,只有0和π两个点。4、S2P是串并转换模块。

2023-12-20 00:12:07 682

原创 zynq flash重复上下电出现数据异常问题分析

2、问题分析:通过使用flash驱动将16M异常后的flash数据全部读出来,同时也将正常的flash数据全部读出来,同时与boot.bin文件里的数据进行对比(用ue打开),发现是前面几个地址(大概是地址0到256之间)的数据存数据异常,判断原因有可能是在重复上下电时flash中的数据被写入了。1、最近在一个项目中重复上下电测试时,会突然出现flash中的硬件程序无法加载的情况,且出现异常情况后,该硬件程序再也不能加载起来只有通过使用sd.卡重新烧写后才能读出来。1)、降低flash芯片的工作时钟。

2023-11-30 14:56:34 280

原创 复旦微flash高温下加载硬件程序时序异常问题

3、解决方法:修改设备数的qspi时钟由50m改为10m,output.bif文件中添加bit校验。2、通过分析排查问题出现在硬件程序加载时出错,即读取flash数据时出错。1、最近在调复旦微的板子,高温60℃上,重新上电,部分逻辑跑起来就出错。

2023-11-02 15:46:51 319

原创 create_generate_clock约束

2023-09-08 17:21:53 167

原创 XILINX官网NVMe

IP下载和文档下载地址。

2023-08-03 18:05:47 199 2

原创 vivado license官方申请

1、选择 Generate a License Key。3、搜索license,输入MAC地址即可。vivado license官方申请。2、输入个人基本信息。

2023-08-01 17:00:21 853

原创 高云fpga使用modelsim仿真报错GSR异常的解决办法

如上图所示,在使用modelsim仿真时报错gsr存在问题,原因是prim_tsim中使用了gsr全局复位,但是却没有在文件中进行例化,解决办法,就是在tb顶层文件中,例化一下就可以了。

2023-03-14 10:47:37 866 1

原创 官网下载 Vivado

Vivado下载

2023-01-14 12:45:13 6607

原创 zhhk1553上位机安装破解方法

2022-11-08 23:03:11 267

原创 zynq的boot引导不起来排查方法

1、设置环境变量了没有。去qspi.c中修改qspi时钟分频2、https://support.xilinx.com/s/article/59174?language=en_US3、https://support.xilinx.com/s/article/70148?language=en_US

2022-06-22 17:14:06 666

原创 zynq软复位

ug585中有讲到软复位寄存器,软复位后会从flash重新加载寄存器

2022-01-19 15:07:02 913

原创 IAR软件调试jtag菊花链路的设置

2021-11-23 11:23:28 626

原创 xilinx fpga 管脚笔记

1.根据官方文档“ug471_7series_selectIO.pdf”文档,第17页描述到fpga的管脚分为HR和HP。HR的电压可以配置为1.8v,2.5v,3.3v,为bank12、13到18。HP的电压仅可配置为1.8v,为bank32、33、34。2.第91页有讲到HP只可以配置为LVDS电平。HR只可以配置为LVDS25电平,且只有lvds和lvds25可设置终端电阻。3.BLVDS(bus lvds)只有HR可以4.sstl和hstl差分电平为存储类器件,例如sram ssram 等

2021-10-12 13:45:34 3430

原创 ad9361收发异常问题分析

最近在调试ad9361,发送都调试好了,但是接收一直没调试好,折腾了一个多月才搞定接收。根据官方提供的api代码,需要修改的有:1.修改reference_clk_rate参考时钟;2.修改xo_disable_use_ext_refclk_enable参数确定是使用晶振还是外供时钟;3.修改two_rx_two_tx_mode_enable,确定采用双收双发还是单收单发;4.rf收发端口使用的端口号;5.发送的衰减空置;6.接收的增益模式(手动或者自动);7.基带时钟配置;8.射频本振配置

2021-10-12 11:40:33 5984 13

原创 用频谱仪测试相噪的方法

2021-09-02 19:44:06 1621

原创 频谱仪N9320A/B截图上位机安装

1.上位机下载网址:https://www.keysight.com/cn/zh/lib/software-detail/computer-software/n9320b-spectrum-analyzer-pc-software-1817046.html2.进这个网站,先下载IO Libraries Suite,安装。 另外再下载N9320B Spectrum Analyzer PC Software,安装3.安装好了后,设置频谱分析仪IP地址和电脑在一个网关,然后通过网线连接,用那个软件就可以.

2021-08-18 15:55:17 1320 1

原创 zynq的ps外挂88e1111网络不通的解决办法

最近在调试一个项目用的是zynq7045的ps外挂88e1111,网口能协商上,但是ping不通,解决办法为在lwip库中新增该代码:修改寄存器20的bit1和bit7为1,分别代表发送延时和接收延时,由0改为1。然后还要进行软复位如果是操作系统则修改设备树:...

2021-08-17 13:29:45 2198 9

原创 VIVADO学习笔记之--DONT_TOUCH

1.参考https://mp.weixin.qq.com/s/-Oyc0DVUvtFWBmKNWphCtw2.警惕设计中的DONT_TOUCHDONT_TOUCH这个综合属性(Attribute)可能很多FPGA工程师在设计中都用过。先说说在什么情况下会使用DONT_TOUCH。使用DONT_TOUCH的场景注:这些场景使用DONT_TOUCH未必合理场景1:保留等效寄存器最典型的场合是为降低扇出,对高扇出的寄存器进行复制,之后对复制的寄存器和原始寄存器添加DONT_TOUCH属性。因为这些寄

2021-07-29 22:47:28 7363

原创 VIVADO学习笔记之--拥塞

1.参考https://mp.weixin.qq.com/s/hYU7BnKcuKyMLM_7wSH62w2.这篇文章帮你解决以下几个问题:如何生成拥塞报告如何理解拥塞程度如何理解拥塞类型3.拥塞报告生成方法拥塞是一个复杂的问题,导致拥塞的因素也很多。在分析拥塞问题时,首先要生成设计拥塞报告。生成方法:第一步:打开布局或者布线后的DCP文件第二步:在菜单下,依次选择Reports -> Report Design Analysis,弹出如下图所示对话框,只选择图中的Congestio

2021-07-27 23:11:50 3653

原创 关于xilinx sdk添加sin cos函数库的方法

输入m即可

2021-07-06 14:29:45 875

原创 AD9361学习笔记

1.AD936x系列帖年终汇总,相关疑问的请对号入座!(https://ez.analog.com/cn/other/f/forum/56501/ad936x)

2021-06-22 20:25:59 875 1

原创 高云FPGA学习资料

1.【星核计划】FPGA开发板配套教程合集https://bbs.elecfans.com/jishu_2077788_1_1.html

2021-06-21 14:46:20 2164

原创 ceil向上取整

比如:ceil(64乘y)等同于(unsigned int)(64乘y+0.5)

2021-05-11 14:24:25 437

原创 DDS产生波形及AM 调制解调原理

原视频链接:https://www.bilibili.com/video/BV17W411i7zQ?t=1469

2021-04-10 17:28:42 3562 2

原创 vivado 通过vio使用tcl实现bram接口进行寄存器读写的方法

2021-04-01 17:07:27 817

原创 tcl脚本实现延时1s的方法

after表示延时1ms

2021-04-01 10:14:52 2265

原创 MicroBloaze配置修改文件

配置文件为*.mhs文件。

2021-03-10 20:21:35 143

原创 xilinx异步时钟单比特同步方法方法

xpm_cdc_single是把1bit的信号从一个时钟域同步到另一个时钟域

2021-02-27 16:17:01 722

原创 关于zynq网口不通的其中原因之一

ddr的接口和fixed的io接口信号必须接到顶层,否则虽然ps的串口是通的,但是网口不能通

2021-02-23 13:46:14 2759 2

原创 批处理实现将128个16bit排列成256个8bit的方法

下图所示实现的是将128个16bit数据排列成256个8bit数据

2021-02-20 16:46:21 186

原创 fpga处理inout信号ddr双沿采样的方法

从上图和下图来看也就是说inout信号就来后可以直接送入IDDR和ODDR;但是oddr送出的数据可以加assign data_inout=en? oddr_out : 1’bz;输入端iddr不可以加assign iddr_in=en? data_inout: 1’bz;否则会报错说oddr输出信号必须要接入到顶层...

2020-12-23 10:25:21 1042

原创 bq3060电池控制芯片使用笔记

bq3060采用SMBuss总线,时序大致与i2c一致,区别在于:1.速率为10k~100k(建议20k)2.每次都需要等待应答3.应答前后需要等待一段时间再发送或者接收8bit数据4.两次进行数据读写操作之间应该间隔一段较长的时间,否则会出现操作某些寄存器时没有响应,或读出数据不对...

2020-11-17 20:08:23 2131 3

原创 microblaze配置文件

配置文件为*.mhs

2020-09-21 15:57:16 459

原创 vivado sdk中的库默认参数修改

在调试项目的时候经常遇到在更新bit文件后,SDK的库报错,然后需要重新添加库,这个时候就有可能需要重新修改某个库参数,比如lwip2.0库的默认参数在:“Xilinx安装目录\SDK\2017.4\data\embeddedsw\ThirdParty\sw_services\lwip141_v2_0\data\lwip141.mld”文件中其它库参数修改类似...

2020-08-12 23:21:02 1053

原创 osrc-lab-linux2019MZ7035下载链接

米联客(msxbo)发布zynq-linux-osrc-lab 视频教程 基于Debian 最好用的LINUX移植教材-22课时(视频+PDF图文)Ubuntu系统Ubuntu系统有着靓丽的用户界面,完善的包管理系统,强大的软件源支持,丰富的技术社区,并且Ubuntu对计算机硬件的支持优于centos和Debian,兼容性强,Ubuntu应用非常多,但是对于服务器操作系统来说,并不需要太多的应用程序,需要的是稳定,操作方便,维护简单的系统。如果你需要在服务器端使用图形界面,Ubuntu是一个不错的选择

2020-07-21 09:31:47 294

原创 设置win10系统屏幕刷新频率

1.鼠标在桌面上点击右键->显示设置2.点击屏幕1或者2(如果是双显示器)3.选择高级显示设置4.选择属性5.点击列出所有属性6.选择60hz的会好一点,。就不会出现屏幕卡顿的情况

2020-07-05 19:55:53 786

民航客机的空乘服务呼叫系统的verilog代码实现

设计一个民航客机的空乘服务呼叫系统 1)某型号民航客机共有12个座位,其中头等舱4个,经济舱8个。 2)每个座位上设置一个请求服务按钮。 3)头等舱的优先级高于经济舱,同等舱位的按座位号顺序确定优先级。 4)机组客服处设置服务请求显示面板,显示发出服务请求的优先级前两个的座位号。 要求: 5)开发环境:Vivado 6)开发语言:Verilog 7)实现硬件:FPGA开发板(用开发板上的什么控件来实现,自由发挥) 本资源适用于电子类专业的课程设计

2023-12-16

伪随机数的verilog实现方法

1、文件中为伪随机数的verilog实现方法

2023-12-16

二进制转换成十进制 BCD码的verilog实现方法

1、二进制转换成十进制 BCD码的verilog实现方法 2、包含testbench等测试代码

2023-12-16

二进制转换成十进制BCD码的verilog实现方法

1、二进制转换成十进制BCD码的verilog实现方法 2、包含testbench等测试代码

2023-12-16

verilog实现单bit跨时钟域处理的方法

verilog语言实现单bit跨时钟域处理的方法

2023-12-16

HI6130--1553B英文版本2021

英文版本

2021-10-15

HI6130--1553B中文资料

本人使用翻译狗付费翻译,准确率达到99%。为2021的英文版本翻译,

2021-10-15

AD9361中文手册(包括寄存器中文翻译)

AD9361中文手册资料,自己找翻译软件翻译的.

2021-03-29

AD9364中文手册(包括寄存器的中文翻译)

AD9364中文手册资料,自己找翻译软件翻译的.

2021-03-29

AD9363中文手册(包括寄存器的中文翻译)

AD9363中文手册资料,自己找翻译软件翻译的.

2021-03-29

cdcun1208lp.pdf

CDCUN1208LP 是一款 2:8 扇出缓冲器, 具有宽工作电源电压范围、 两个通用差分/单端输入以及具有边沿速率控制的通用输出(HCSL、 LVDS 或LVCMOS) 。 时钟缓冲器支持 PCIe 1/2/3 代。 其中一个器件输入包括可提供 /1、 /2、 /4 或 /8 分频值的分频器。 CDCUN1208LP 采用 32 引脚 QFN 封装, 从而减小了解决方案的尺寸。 此器件非常灵活并易于使用。 某些特定引脚的状态决定了器件在加电时的配置。 或者, CDCUN1208P 提供一个 SPI/I2C 端口, 在此端口上有一个主机处理器来控制器件的设置

2020-01-11

AD9520中英文资料

AD9520中英文资料

2019-04-15

AD5665中英文资料

AD5665中英文资料

2019-04-15

ADS8370中英文手册

ADS8370中英文手册,中文文档为翻译文档,可以对照着英文一起看

2019-04-12

AD7682 - 7689 中英文手册资料

AD7682 - 7689 中英文手册资料,比较详细

2019-04-11

linux下Questasim10/Modelsim安装以及破解方法

在fedora操作系统下验证通过,安装此方法可以成功安装linux下的moedlsim/questasim

2016-10-17

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除