自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(117)
  • 资源 (14)
  • 收藏
  • 关注

原创 Vivado VIO IP核(Virtual Input/Output)的使用

在Aurora通信工程中,有一个专门产生Aurora数据包的模块,该模块在Aurora ip准备好后会自动根据计数器产生发送的数据包。但现在希望能实时地控制数据包的发送以及中断,这时候可以采用vivado的vio ip核处理。

2024-04-01 15:37:14 594

原创 modelsim使用小方法

今天需要用到modelsim,太久没用了,快一年了吧,不晓得咋操作了。vivado和modelsim关联了一上午。下午就开始简单看看咋用的。记录几个常用的操作吧。

2024-03-28 17:14:21 184 1

原创 Aurora IP的Framing帧接口和Streaming流接口

顾名思义,Framing帧接口,有了帧的概念,因此它有着tlast和tkeep信号。通过tvalid和tlast就能实现帧(包)长度的控制,通过tkeep来指示最后一拍数据的有效字节数。而Streaming流接口,它没有包的概念,即流处理,发一个数据则接收一个数据,因此没有tlast和tkeep信号。Framing帧接口有tlast和tkeep等控制信号,传输更准确,但传输效率较低,消耗的资源更多。Streaming流接口传输效率高,但无法保证传输的准确性。另外,帧的大小是可以任意的。

2024-03-26 11:20:35 280

原创 xilinx FPGA 除法器ip核(divider)的学习和仿真(Vivado)

如下图所示,给了正确的r_axis_divisor_tvalid、r_axis_divisor_tdata、r_axis_dividend_tvalid、r_axis_dividend_tdata信号,并将其赋值给除法器IP的输入s_axis_divisor_tvalid、s_axis_divisor_tdata、s_axis_dividend_tvalid、s_axis_dividend_tdata。无余数的情况,可以看到m_axis_dout_tvalid高时,对应的余数都是0。2、分清除数和被除数;

2024-03-25 14:08:19 753

原创 Verilog综合(synthesis)过程中出现 found timing loop.的critical warning

打开时序检查报告(synthesis->Report Timing Summary),找到loops的位置,查看生成的原理图schematic定位到出问题的模块,从而看到出问题的位置,在对应代码修改。Verilog综合(synthesis)过程中出现 found timing loop.的critical warning。found timing loop的问题不会像少写end等具体提示代码位置,只会提示所在模块,所以不便于查找🔍修改。组合电路中,else未写全,所以生成了latch。

2024-03-22 14:34:35 474

原创 Verilog实现以太网接收部分的学习笔记

以太网接收部分

2023-12-18 09:26:28 1333

原创 CRC校验

如 x8 + x2 + x + 1,二进制为1 0000 0111,省略最高位1,转换为十六进制为0x07 ( 之所以把最高位去掉,是因为任意多项式生成的二进制数的最高位肯定为1,所以为了便于16进制表示,统一把最高位去掉 )接收时首先将接收到的数据进行数据和校验码的分离,然后对数据采用同样的多项式进行计算,若计算结果和接收到的校验值一致,说明数据校验正确,该数据保留,否则说明传输过程中出错,该次传输数据丢弃重发。其中多项式为二进制除数,进行校验的数据为被除数,余数则为CRC校验码。

2023-11-27 15:01:16 1009

原创 基于FPGA的PCIe-Aurora 8/10音频数据协议转换系统设计阅读笔记

下图显示了包头中的字段,其中标记为“R”的字段为保留字段,默认为 0,每个字段代表不同含义,干不同的事儿,这里具体不具体介绍。文章可知网下载阅读,该论文设计了一种 PC 到光纤模块(基于Aurora的光纤传输)的数据通路,成功完成了Aurora 以及 DDR 等模块的功能验证。首先是TLP包头,包头决定了对应报文的格式,有两种格式,一种长度为 3 DW,一种长度为 4 DW,其中的 DW 是双字的意思,大小等于 4 字节。其中EP指具体的设备,比如FPGA,其可以作为请求者,也可作为完成者。

2023-11-09 10:52:32 658

原创 根据Aurora发送时序,造Aurora 数据包,从而进行AXIS接口数据位宽转换仿真

也就是说,当计数器为0的表示,还没移入数据;由于我们发的第一个数据包中有11个64bit数据,因此最后一拍256bit数据中仅包含3个64bit数据,所以24个字节有效,因此m_tkeep的高两位为0,剩下为f。s_tvlaid、s_tdata 通过计数器来创造,创造不同长度的数据包,其中包含非4的倍数的数据包、刚好4个数据的数据包,仅一个数据的数据包。生成m_tkeep ,首先确定最后一个256bit数据,即m_tlast对应的256bit数据的m_tkeep值,这里根据移入寄存器的个数有关。

2023-11-02 18:28:40 501

原创 Vivado调用FIFO IP核的注意点

在异步FIFO的读写控制部分,存在空满信号的处理。比如在写控制模块中,将读空则进行写操作,这里在使用将读空信号的时候注意,该信号是读时钟下的信号,那么在写控制模块使用的时候需要打两拍,实现跨时钟域,避免亚稳态。FWFT模式下,即使rd_en无效,第一个数据仍然能能出现在FIFO的数据线dout上,当rd_en有效,开始依次读数据。读写数据时不要立刻操作。由于FIFO内部信号存在一定的更新延迟,因此一般延迟10个clk进行操作。标准模式下,当rd_en有效时,数据才能出现在FIFO的数据线dout上。

2023-07-21 15:16:40 680 1

原创 IDELAYG/ODELAY/IDELAYCTRL

如下是7系列FPGA HP Bank I/O 资源:其中ILOGIC是由许多的数据选择器和一个IDDR触发器构成。在HP BANK中,ILOGIC被称为ILOGICE2,在HR BANK中,ILOGIC被称为ILOGICE3。

2023-07-19 10:10:36 1086

原创 IDDR和ODDR

D:输入双倍速率数据(IOB输入,且数据在时钟的上升沿和下降沿都会发生切换,即一个时钟周期发送2bit数据)CE:时钟使能信号(高有效)C:时钟信号S,R:置位和复位信号Q1,Q2:单倍速率的输出数据。

2023-07-18 16:33:05 777 1

原创 BUFG/BUFGCE/BUFH/BUFHCE/BUFH/BUFGHCE/BUFMR/BUFMRCE/BUFR/IBUF/IBUFDS

对BUFG/BUFGCE/BUFH/BUFHCE简单了解。

2023-07-17 17:46:29 6149

原创 循序渐进(一)关于Vivado软件

管脚约束即管脚分配,注意几个概念:bank、HR、HP以及I/O电平标准如何选择(根据手册中的原理图)。分配后生成xdc文件,其中可以看到我们对应输入输出分配的管脚以及对应的电平标准。工程创建步骤、文件创建步骤 、IP核创建步骤: 略。采用Set Up Debug 进行调试。,还有Set Up Debug的方法。生成比特流文件,下载到开发板。紧接着综合后,进行时序约束。编写仿真文件进行仿真。

2023-07-13 10:21:30 222 1

原创 未定义标识符

cvtColor 未定义标识符#include<opencv2/opencv.hpp>CV_BGR2GRAY未定义的标识符#include <opencv2\imgproc\types_c.h>SiftFeatureDetector detector 未定义的标识符using namespace cv::xfeatures2dCV_WINDOW_AUTOSIZE 未定义标识符#include <opencv2/highgui/highgui_c.h>

2023-04-26 09:30:42 218

原创 破防 | 姥姥摇着头说:“不记得你了”

我们和姥姥

2023-01-27 21:03:30 536 3

原创 许下你的新年愿望吧

祝大家新的一年顺顺利利~

2023-01-24 12:24:20 1296 1

原创 双倍数据速率I/O (ALTDDIO_IN、ALTDDIO_OUT)使用方法

HDMI代码中涉及到了双倍数据速率I/O,学习一下

2022-10-20 15:12:04 2320 1

原创 汉明距离计算

汉明距离

2022-10-06 13:12:49 241

原创 关于论文——图像融合、四目摄像头采集

四目

2022-10-06 12:44:47 451

原创 Verilog实现SPI通信协议驱动设计

线性序列机实现SPI协议

2022-08-29 20:06:12 3770

原创 Verilog编写VGA控制器

根据VGA时序写VGA控制器

2022-08-21 15:48:35 2500 5

原创 单bit脉冲信号跨时钟域处理——展宽信号 + 握手协议

展宽信号、打拍、握手协议

2022-08-15 15:21:21 6931 5

原创 FPGA岗的面经总结

面经

2022-08-02 11:57:46 1329 2

原创 FPGA图像处理学习——基于肤色的人脸识别

1、学习肤色检测的方法:根据经验,为Cr和Cb分量设置阈值,得到肤色二值化结果,便于简化后续计算处理。2、直方图拉伸原理学习与分析

2022-07-18 20:07:07 8477 81

原创 数字IC笔面试(一)——联发科提前批笔试题记录

复盘复盘复盘

2022-07-13 18:13:03 2498 8

原创 FPGA实现图像二值形态学滤波——腐蚀膨胀

modelsim进行仿真,实现结果与MATLAB对比,给出算法简化技巧

2022-06-24 17:19:24 4147 4

原创 SDRAM控制器——添加读写FIFO

用FIFO实现数据缓存

2022-06-23 09:21:08 1513 1

原创 SDRAM控制器——仲裁模块的实现

注意上电出初始化、自动刷新、写读的优先级

2022-06-22 18:46:55 1669 3

原创 关于SDRAM存储器

SDRAM各命令时序图分析

2022-06-18 13:56:23 2731 2

原创 FIFO(二) —— 手写同步和异步FIFO

同步和异步FIFO设计

2022-06-16 16:27:55 2079 4

原创 FIFO(一) —— Quartus中FIFO IP核的学习与modelsim仿真

对fifo进行仿真验证

2022-06-15 18:42:08 3178

原创 FPGA中亚稳态、异步信号处理、建立和保持时间违例及题目合集

熟练掌握STA

2022-06-14 19:59:42 6888 2

原创 关于论文——5*5模板高斯滤波

sift中,一般采用5*5高斯模板,本文采用5*5进行高斯滤波

2022-06-14 14:12:25 1934

原创 FPGA实现sobel边缘检测并Modelsim仿真,与MATLAB实现效果对比

将根号运算近似,以提高效率。

2022-06-13 19:19:09 4753

原创 FPGA高斯滤波实现并Modelsim仿真,与MATLAB高斯滤波进行对比

学习matlab实现高斯模板计算;掌握数据乘法及加法后数据位宽的计算

2022-06-12 20:01:07 5703

原创 FPGA中值滤波实现并Modelsim仿真,与MATLAB中值滤波进行对比

matlab实现中值滤波;FPGA实现中值滤波并仿真,二者效果图进行对比

2022-06-12 14:15:37 2729 7

原创 FPGA面试题目笔记(五)—— 代码覆盖率、BCD码、带宽

对大佬题目进行学习记录。fork… join_none 在testbench中的延时作用

2022-06-12 12:22:22 9625 2

原创 FPGA设计中提高工作频率及降低功耗题目合集

降低工作电路延时

2022-06-10 16:37:18 4752 1

原创 FIFO最小深度计算的题目合集

根据突发长度算FIFO深度

2022-06-09 16:44:05 2797

针对咸鱼FPGA的FPGA实现人脸检测进行学习,此为代码

将肤色从外界环境中提取出。在肤色识别算法中,常用YCbCr颜色空间(亮度、蓝色、红色分量),因为肤色在 YCbCr 空间受亮度信息的影响较小,从而肤色类聚性好,由此,在Ycbcr空间基础上,我们用**人工阈值法**将肤色与非肤色区域分开,最终形成**二值图像**,实现肤色的提取。

2023-05-06

FPGA实现双线性插值

加入VGA模块、PLL锁相环

2022-09-09

verilog实现双线性插值

算法中小数部分到底该如何处理(定点化处理,注意精度问题) 如果求出插值公式中的系数,以及周围四个点的坐标 求出四个点之后,为加快速度,如何将四个点的像素值同时读出

2022-09-09

verilog实现双线性插值

算法中小数部分到底该如何处理(定点化处理,注意精度问题) 如果求出插值公式中的系数,以及周围四个点的坐标 求出四个点之后,为加快速度,如何将四个点的像素值同时读出

2022-09-09

FPGA实现高斯滤波并进行modelsim仿真验证

取σ= 0.8 计算出高斯模板。用该模板进行卷积,从而实现整幅图像的高斯滤波。 高斯滤波能对含有高斯噪声的图像进行去噪,另外也能在构建高斯金字塔的时候用。 将FPGA实现的结果与Matlab实现的结果进行对比。

2022-06-12

FPGA实现高斯滤波并进行modelsim仿真验证

取σ= 0.8 计算出高斯模板。用该模板进行卷积,从而实现整幅图像的高斯滤波。 高斯滤波能对含有高斯噪声的图像进行去噪,另外也能在构建高斯金字塔的时候用。 将FPGA实现的结果与Matlab实现的结果进行对比。

2022-06-12

FPGA实现中值滤波并modelsim仿真

FPGA实现中值滤波并采用modelsim进行仿真 仿真后的查看波形,对算法计算部分进行验证。 用matlab实现中值滤波算法,将FPGA和matlab分别实现的中值滤波进行对比。

2022-06-12

FPGA实现中值滤波并modelsim仿真

FPGA实现中值滤波并采用modelsim进行仿真 仿真后的查看波形,对算法计算部分进行验证。 用matlab实现中值滤波算法,将FPGA和matlab分别实现的中值滤波进行对比。

2022-06-12

FPGA实现均值滤波算法

FPGA实现均值滤波算法并采用modelsim进行仿真。图片大小可根据需求进行修改。均值滤波算法采用流水线的方式进行计算。本资源用于学习回顾

2022-06-12

FPGA实现均值滤波算法

FPGA实现均值滤波算法并采用modelsim进行仿真。图片大小可根据需求进行修改。均值滤波算法采用流水线的方式进行计算。本资源用于学习回顾

2022-06-12

FPGA设计-乒乓操作的实现

本工程用于实现FPGA设计中的乒乓操作,并编写tb测试文件进行仿真。便于自己回顾查看。 能根据乒乓原理画出其波形图,该波形图可与仿真波形图进行对比。 掌握二选一控制器分别控制哪两种情况。 state = 1:buffer1写,读buffer2; state=0 :buffer2写,读buffer1; 另外掌握读写标志是怎么回事。wr_flag1 ,rd_flag2; 写进哪个缓冲?读哪个缓冲中的数据。buffer_1,buffer_2;

2022-06-07

FPGA设计-乒乓操作的实现

本工程用于实现FPGA设计中的乒乓操作,并编写tb测试文件进行仿真。便于自己回顾查看。 能根据乒乓原理画出其波形图,该波形图可与仿真波形图进行对比。 掌握二选一控制器分别控制哪两种情况。 state = 1:buffer1写,读buffer2; state=0 :buffer2写,读buffer1; 另外掌握读写标志是怎么回事。wr_flag1 ,rd_flag2; 写进哪个缓冲?读哪个缓冲中的数据。buffer_1,buffer_2;

2022-06-07

verilog采用txt文档的形式,模拟双目摄像头采集

当没有双目摄像头的时候,可verilog采用txt文档的形式,模拟双目摄像头采集,这里采用的图像大小为450*450.后续即可加入其他图像处理算法来进行计算。

2022-05-31

verilog采用txt文档的形式,模拟双目摄像头采集

当没有双目摄像头的时候,可verilog采用txt文档的形式,模拟双目摄像头采集,这里采用的图像大小为450*450.后续即可加入其他图像处理算法来进行计算。

2022-05-31

verilog进行Ycbcr图像灰度转换,并modelsim联合matlab进行验证

其余图像处理算法的实现,主要在图像处理模块(rgb_gray)进行修改即可。若要查看rtl图,需要在图像生成模块中,引去initial的部分,否则不可综合。

2022-05-17

verilog进行Ycbcr图像灰度转换,并modelsim联合matlab进行验证

其余图像处理算法的实现,主要在图像处理模块(rgb_gray)进行修改即可。若要查看rtl图,需要在图像生成模块中,引去initial的部分,否则不可综合。

2022-05-17

基于FPGA的图像旋转算法

基于FPGA的图像旋转算法

2022-04-24

基于FPGA的图像旋转算法

基于FPGA的图像旋转算法

2022-04-24

encryption_key_256_Ycbcr FPGA实现Ycbcr灰度化并进行图像Arnold加密。

采用verilg语言实现图像Arnold置乱算法。并加入按键key来进行不同加密效果的查看与调节。

2022-03-25

encryption_key_256_gray

encryption_key_256_gray

2022-03-25

image_encryption_de1 (2)

image_encryption_de1 (2)

2022-03-25

逆置乱实现 两次 重点学习如果计算负数的情况

逆置乱实现 100*100

2022-03-18

FPGA encryption_key_256

256*256 encryption 动态

2022-03-18

FPGA实现图像裁剪并进行modelsim仿真

FPGA实现图像裁剪并进行modelsim仿真

2022-02-04

多路视频实时全景拼接融合.xmind

知识框架主要分为相机标定和实时拼接两部分。为保证后续拼接质量,首先进行相机标定,根据特征点匹配对来得到内外参数,其中对畸变进简单的总结;简要概括多路视频实时全景拼接融合所需要的知识点,比如匹配算法、融合算法等。

2021-12-11

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除