自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(127)
  • 收藏
  • 关注

原创 论文全免费下载网站SCI-Hub新网址

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们一、SCI-Hub新网址:1.http://sci-hub.tw/(最新,最推荐)2.http://sci-hub.bz/(有时不太稳定)二、关于SCI-Hub:这是战斗民族俄罗斯的网址,可以下载到几乎所有的SCI论文。而且方法非常简单,只需在界面唯一的输入框中输入我们要查找的文献的题名或DOI号,然后按回车,最后按界面中唯一一个向下的箭头即可...

2018-05-07 21:16:37 38215 1

转载 莱迪思sensAI™推理技术集合缩短网络边缘应用上市时间

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们系统设计领域掀起的一股新潮预示着网络边缘解决方案的设计人员即将面临巨大的机遇和挑战。如今,随着越来越多的公司和组织通过云端来削减成本,并最大化效率,低延迟要求、日益严峻的隐私问题和通信网络限制推动了对物联网网络边缘“物件”更高智能的需求。这些新应用要求采用接近物联网传感器数据源,而非云端的基于机器学习的计算解决方案,此外还需要片上的计算资源。这...

2018-06-27 22:13:33 508

转载 推荐: Xilinx 工程师实用教程: Vivado 从入门到精通 - 基础篇

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们。Vivado 设计套件赛灵思面向未来十年可编程器件而打造的以IP和系统为中心的SoC 增强型新一代开发环境,该环境从头开始构建,致力于解决系统级集成和实现过程中的生产力瓶颈问题关 于 本 课 程本课程由赛灵思高级战略应用工程师 —— 高亚军(Lauren Gao)提供,通过一系列短小精辟的文章方式,分享了其在客户支持以及平时使用 Vivado...

2018-05-29 19:05:26 14114

转载 FPGA常见的警告以及处理方法

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们1.Found  clock-sensitive        change during        active        clock        edge at        time on register ""原因: vector source file        中时钟敏感信号(如:数据,允许端,清零,同步加载等)在时钟...

2018-05-29 19:05:11 9745

转载 [QTV] 实例演示 — 基于FPGA的AWS F1实例

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们在本视频中,我们将通过一个 Step by step 的设计案例,详细向您介绍如何上手开始使用基于赛灵思 UltraScale+ FPGA 的亚马逊(Amazon) EC2 F1 实例开始您的工作。视频链接本文转载自赛灵思公众号,如涉及侵权,请私信小编删除。============华 丽 的 分 割 线============想加入我们FPGA...

2018-05-29 19:04:56 769

转载 ZYNQ基础系列(三)VTC+VDMA+Vid_Out核 构建一个简单的显示通路的准备工作

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们想了解更多FPGA相关知识?点击以上“FPGAer俱乐部”关注我们。构建一个简单的显示通路的部件在上一篇ZYNQ基础系列(二) IO口模拟HDMI中,介绍了VGA到HDMI输出的IP核的使用方法,本文将先介绍三个VIVADO自带的视频输出通路相关的重要IP核,搭建一个比较简单的视频通路,为不久之后的摄像头到显示屏通路打下基础:代码显示不出,具体...

2018-05-29 19:04:40 2174

转载 英特尔 FPGA释放数据潜能 加速从云到边缘的成长

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们作者:英特尔公司副总裁兼可编程解决方案事业部(PSG)总经理 Dan McNamara英特尔® 现场可编程门阵列(FPGA)继续在市场中保持强劲的发展势头。配合英特尔®处理器,FPGA释放数据的巨大潜能,改造我们的世界,使从云到边缘的一系列实际用例的成长得以加速,体现出独特的价值。凭借出色的灵活性,FPGA 堪比半导体界的瑞士军刀。即使在发运给...

2018-05-29 19:04:20 600

转载 [QTV] 如何在 AWS F1 实例中使用 Vivado IPI

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们本视频将向您详细介绍如何在亚马逊(Amazon) EC2 F1 硬件开发套件 HDK 中使用赛灵思 Vivado IP 集成器(Vivado IPI)。并通过一个“hello world”的案例向您介绍包括:简化外设连接、块自动化功能、连接自动化、设计规则检查(DRC)以及高级硬件调试功能的应用在内的多种设计辅助功能。视频链接本文转载自http...

2018-05-29 19:04:04 401

转载 说变就变!让您的ADC通道数翻倍

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们作者:Umesh Jayamohan,ADI高速转换器部门应用工程师问题:我购买了一个双通道ADC,并配置成数字下变频器。但现在有人说其实我有四个转换器!!!难道是我买数据转换器时没留神参加了“买一赠一”活动?答案:自从第一枚单片式硅基模数转换器(ADC)诞生以来,ADC技术一直紧跟硅加工技术快速发展的步伐。这些年来,硅加工技术已发展到非常高的...

2018-05-29 19:03:53 1687

转载 莱迪思半导体超低功耗FPGA解决方案助力机器学习面向大众市场

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们全新的毫瓦级功耗FPGA解决方案为机器学习推理在大众市场物联网应用中实现快速部署创造机遇。1. 将AI加速部署到快速增长的消费电子和工业IoT应用,如移动设备、智能家居、智慧城市、智能工厂和智能汽车产品2. 经过优化具有ASIC超低功耗(小于1mW-1W)、尺寸小、批量价格低(约1-10美元)的优势,兼备FPGA的灵活性,以支持算法演进、各类接...

2018-05-29 19:03:38 582

转载 RS-485通讯协议

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们          1. 硬件层协议 通讯协议主要是实现两个设备之间的数据交换功能,通讯协议分硬件层协议和软件层协议。硬件层协议决定数据如何传输问题,比如要在设备1向设备2发送0x63,0x63的二进制数为0110 0011,这8个二进制数从设备1传输到设备2,涉及到1怎么传,0怎么传的问题,这就是硬件层要解决的问题。硬件层协议目前比较多见的有...

2018-05-29 19:03:14 3718

转载 优化隔离传感器接口的功率转换

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们        作者:Mark Cantrell在工业控制世界,有几点是确定无疑的:下一款产品将具 有更小的尺寸、更多通道数,每通道的目标成本更低。人 们期望,技术在上一个设计产品之后已有所改进,所有这 些都是可能的。在很大程度上,过去就是这样发展的,而 未来很可能仍然如此。从光耦合器时代到最新的高速、低功耗、高集成度数字隔离器,数据接口一直在...

2018-05-29 19:02:57 723

转载 FLEX LOGIX联合创始人王成诚获美国专利局颁发的RAMLinx互连专利

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们FLEX LOGIX公司联合创始人王成诚博士获美国专利局正式颁发适用于在eFPGA 阵列内集成自定义RAM的RAMLinx互连专利,新RAMLinx 使得eFPGA阵列能集成不同种类、数量及容量的RAM。领先的eFPGA IP,架构,和软件供应商今天宣布,其联合创始人王成诚博士发明的一项新的eFPGA 互连专利已由美国专利局正式颁发,U.S. ...

2018-05-28 16:18:00 547

转载 FPGA定点小数计算中截位形式的探讨

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们在FPGA设计过程中难免会碰到需要进行截位,那定点小数的计算过程中我们需要注意些什么呢?首先,我们考虑如下计算式。sin cos 数据形式是 FIX_32_30X Y Z 数据形式是 FIX_32_20φ 是角度 最后需要计算 exp(jπφ),可以看出来φ具有周期性,是可以-1~+1。要求的数据形式是 FIX_32_29 1、首先 分析 te...

2018-05-28 16:17:49 2028 1

转载 ZYNQ 高速接口系列(一) PCIe接口

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们PCIe 学习笔记一、PCIe概况随着现代处理器技术的发展,使用高速差分总线替代并行总线已是大势所趋。与单端并行信号相比,高速差分信号可以使用更高的时钟频率,从而可以使用更少的信号线达到更高的通讯速度。PCIe总线解决了PCI总线的不足,它的发展将取代PCI成为新型的数据总线,其提供了更加完善的性能,更多的功能,更强的可扩展性和更低的成本 在...

2018-05-28 16:17:38 3501

转载 向SoC硬件“敞开”Windows

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们长期以来,系统设计的一个惯例是通过嵌入专用硬件观察和控制系统状态。自数字计算诞生之始,中央处理器就使用硬件支持寄存器和内存的单步指令实施、加载与检查及断点设置,以支持软件调试。在多年之后(仍属于发展初期),集成电路开始包含用于制造测试的扫描硬件。FPGA 同样沿袭了这一发展思路,配备了内置逻辑分析功能,以帮助设计师对其电路进行细致入微的检查。随...

2018-05-28 16:17:24 879

转载 AD9854的工作原理和应用电路图

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们ad9854工作原理AD9854采用80脚LQFP封装,其内部共有40个8位的控制寄存器,分别用来控制输出信号频率、相位、幅度、步进斜率等,以及一些特殊控制位。下表给出了控制寄存器的分布情况。   AD9854能够产生多种形式的额输出信号,工作模式的选择是通过对控制寄存器IFH中的三个位(Mode2、Mode1、Mode0)的控制来实现的。见下...

2018-05-28 16:17:12 7888 2

转载 E2E小课堂 | FPGA OpenCL 平台的多线程操作

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们本期的E2E小课堂由来自英特尔PSG的Kian Ming介绍FPGA OpenCL平台的多线程操作。具体内容包括:单线程和多线程软件及它们的差异性多线程注意事项一个已发布的多线程设计示例 视频在此,happy watching!视频链接本文转载自英特尔FPGA公众号,如涉及侵权,请私信小编删除。============华 丽 的 分 割 线==...

2018-05-28 16:16:43 510

转载 PBX:迈瑞为您提供更优质的医学影像

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们迈瑞(Mindray)公司一直致力于提供最优质的医疗设备和医疗解决方案,研发、销售和服务网络遍布全球。医学影像设备中,FPGA 是其核心,因为医学影像成像模式多,算法复杂,更新速度快,并且对实时性要求很高,很难找到能同时满足的 ASIC 产品。选择赛灵思,让迈瑞公司可以实现不断提升技术、不断满足客户临床需求的追求。视频链接本文转载自赛灵思公众号...

2018-05-28 16:15:24 361

转载 中国FPGA取得技术突破 核心技术不受制于人

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们日前,在一次军民融合展会上,中国电科下属单位展示了完全正向设计的3500万门级FPGA。随后,中国电子下属单位公开宣布成功研发7000万门级FPGA。在特朗普亲自否决传说中有中资背景,总部在美国的私募基金Canyon Bridge收购美国FPGA设计公司莱迪思之后,中国电科和中国电子在FPGA上取得的技术突破非常振奋人心。虽然这两款FPGA和赛...

2018-05-28 16:14:53 793

转载 基于AD9854信号发生电路和MSK调制信号

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们AD9854特征·300M内部时钟频率·可进行频移键控(FSK),二元相移键控(BPSK),相移键控(PSK),脉冲调频(CHIRP),振幅调制(AM)操作·正交的双通道12位D/A转换器·超高速比较器,3皮秒有效抖动偏差·外部动态特性:80 dB无杂散动态范围(SFDR)@ 100 MHz (±1 MHz) AOUT ·4倍到20倍可编程基准...

2018-05-28 16:14:38 1321

转载 Xilinx Virtex UltraScale FPGA VCU1287 特性描述套件

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们          VCU1287 功能描述套件可为您提供描述和评估 Virtex® UltraScale™ XCVU095-FFVB2104E FPGA 上可用 32 GTH (16Gbps) 和 32 GTY (30Gbps) 收发器所需的一切功能。每个 GTH 与 GTY Quad 及其相关参考时钟均从 FPGA 路由至 SMA 及 Sa...

2018-05-28 16:14:00 752

转载 英特尔 FPGA 助力 Microsoft Azure 人工智能

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们新特性:在近日举行的 Microsoft Build 大会上,Microsoft推出了 基于 Project Brainwave 的 Azure 机器学习硬件加速模型,并与 Microsoft Azure Machine Learning SDK 相集成以供预览。客户可以使用 Azure 大规模部署的英特尔® FPGA(现场可编程逻辑门阵列)技...

2018-05-27 22:54:54 322

转载 商汤联合提出基于 FPGA 的快速 Winograd 算法:实现 FPGA 之上最优的 CNN 表现与能耗

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们商汤科技联合北京大学等提出一种基于 FPGA 的快速 Winograd 算法,可以大幅降低算法复杂度,改善 FPGA 上的 CNN 性能。论文中的实验使用当前最优的多种 CNN 架构,从而实现了 FPGA 加速之下的最优性能和能耗。<div id="img-content" helvetica="" neue',="" helvetica...

2018-05-27 22:54:01 2339 1

转载 ASIC、FPGA和CPU各有什么特点?

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们ASIC芯片内部架构较为简单,不可以硬件编程,只能用来专门处理某一种功能,灵活性最差,但是在执行某一种任务上的效率最高。ASIC也被称为专用集成电路。FPGA芯片内部架构稍微复杂一些,可以硬件编程,因而可以通过硬件编程语言来改变内部芯片的逻辑结构,从而能够在提供一定灵活性的同时,还能够保证较高的处理效率,算是在灵活性和性能上取了个折中。FPGA...

2018-05-27 22:52:51 5353 1

转载 在FPGA的编程语言里,这是你最容易犯的错误

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们我知道,我对与电子有关的所有事情都很着迷,但不论从哪个角度看,今天的现场可编程门阵列(FPGA),都显得“鹤立鸡群”,真是非常棒的器件。如果在这个智能时代,在这个领域,想拥有一技之长的你还没有关注FPGA,那么世界将抛弃你,时代将抛弃你。本公众号作者ALIFPGA,多年FPGA开发经验,所有文章皆为多年学习和工作经验之总结。 逻辑写多了,有时候...

2018-05-27 22:51:55 1846

转载 怎样为FPGA选择最合适的电源管理方案?

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们为FPGA应用设计优秀电源管理解决方案不是一项简单的任务,相关技术讨论有很多。本文一方面旨在找到正确解决方案并选择最合适的电源管理产品,另一方面则是如何优化实际解决方案以用于FPGA。找到合适的电源解决方案寻找为FPGA供电的最佳解决方案并不简单。许多供应商以适合为FPGA供电的名义推销某些产品。为FPGA供电的DC-DC转换器选择有何特定要求...

2018-05-27 22:50:57 863

转载 如何加速C++开发FPGA ?方法在此拿走不谢

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们HLS编译器咱们英特尔FPGA的粉儿,肯定都知道英特尔发布的高级合成工具——HLS编译器。它可以利用无时序C++生成针对英特尔FPGA优化的生产级高质量RTL代码......简单来说,它能帮助我们的FPGA开发更加有效益。那我们怎样才能对这款新工具快速上手呢?想快速掌握工具的使用方法?下面这个视频,可千万不要错过哟~无论是什么工具,想要快速使用...

2018-05-27 22:44:48 4362

转载 全新英特尔® Quartus® Prime,带你全速前进!

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们英特尔® Quartus® Prime 软件 v18.0 已新鲜出炉,可快速完成编译、分析和重新配置,所有支持我们的用户先睹为快吧!突破性的英特尔® Quartus® Prime 设计软件,在性能、效率和可用性三大重要方面进行了华丽升级,提供行业领先的易用性和时效性。全新的并发分析特性和 Stratix 10 器件快速重新编译的支持,助力更智能...

2018-05-27 22:43:20 616

转载 集成电路专利态势报告(2018版)

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们近日,中国电子信息产业知识产权高峰论坛暨第18届信息技术领域专利态势发布会暨4•26知识产权发展论坛在深圳会展中心举行。中国集成电路知识产权联盟秘书处纲正知识产权中心发布了《集成电路专利态势报告(2018版)》,全面分析了各个领域的专利态势。报告内容分四个方面集成电路总体态势、DRAM领域态势、FPGA领域态势、光刻设备领域态势 集成电路总体态...

2018-05-27 22:41:15 3070

转载 Barefoot:可编程交换在5G中的潜力

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们        Barefoot Networks认为可编程交换技术将在5G网络发展中占据一席之地。Tofino可编程交换机的生产商也表示,该技术可以帮助运营商减少延迟并更好地监控其网络性能。“随着运营商从分组核心过渡到移动核心,网络运营商采用可编程交换技术的可能性将会逐步提高。”Barefoot产品与战略副总裁Ed Doe表示,“我们将交换机...

2018-05-27 22:39:19 3655

转载 FPGA的图像处理是怎么做到的?

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们基于软件的图像处理方法存在着一些局限性,尤其是计算速度和算法效率方面。所以大家很自然的就想到了FPGA作为嵌入式图像应用的平台。许多图像处理本身就是并行计算的,并且FPGA的编程硬件,本质上也是并行的。但是利用FPGA硬件进行图像处理存在很多的困难,需要学到很多的技巧。下面我介绍两几种比较基础的图像处理算法思想。 单幅图像的点操作是图像处理中最...

2018-05-27 22:38:12 6444

转载 e 络盟推出德州仪器参考设计,以简化 Xilinx MPSoC、SoC 和 FPGA 应用电源解决方案的开发

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们可扩展电源设计简化和加速了Xilinx Zynq UltraScale+ MPSoC系列电源解决方案的开发 中国上海,2018年5月9日—全球电子元器件与开发服务分销商e 络盟推出两款德州仪器参考设计,为Xilinx® Zynq® UltraScale+™MPSoC系列产品的客户提供支持,让他们可以更轻松地运用这些设备开发电源解决方案,加速其创...

2018-05-09 19:48:49 481

转载 推荐: Xilinx 工程师实用教程: Vivado 从入门到精通 - 基础篇

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们Vivado 设计套件赛灵思面向未来十年可编程器件而打造的以IP和系统为中心的SoC 增强型新一代开发环境,该环境从头开始构建,致力于解决系统级集成和实现过程中的生产力瓶颈问题关 于 本 课 程本课程由赛灵思高级战略应用工程师 —— 高亚军(Lauren Gao)提供,通过一系列短小精辟的文章方式,分享了其在客户支持以及平时使用 Vivado ...

2018-05-09 19:47:06 7272

转载 复合数据类型在Vivado HLS中的应用

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们在C++中常用的复合数据类型,一种是结构体,另一种就是枚举类型。1    struct对于struct来说,如果出现在顶层函数,并作为顶层函数参数的时候,struct里的scalar变量就会被映射scalar类型的端口,数组就会被映射为相应的memory端口。在实际使用中,建议预先把结构体在头文件里声明。对于结构体中的元素,Vivado hls...

2018-05-09 19:46:14 807

转载 ubuntu下JTAG的安装

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们1,找到 把  install_script 文件夹         install_script 文件夹在安装目录 vivado/2016.2/data/xicom/cable_drivers/lin64下。可能vivado不同的版本位置有点差异。      如果找不到就用命令查一下       locate  install_script ...

2018-05-09 19:44:42 2238

转载 复合数据类型在Vivado HLS中的应用

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们          由于车载应用、机器视觉、人脸识别与安防监控的快速发展,以及越来越强大的手机拍照功能(译者注:例如双摄像头或三摄像头),全球CMOS图像传感器销售额屡创新高,市场调研机构IC Insights统计,2017年销售额为125亿美元,同比增长19%,预计2018年CMOS图像传感器销售额有望达到137亿美元,同比增长10%,将连续...

2018-05-09 19:43:22 320

转载 阿里云发布全新FPGA计算实例F3 采用自研高性能加速卡

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们        5月4日,阿里云宣布新一代FPGA计算实例F3启动邀测。该产品基于自研超高性能FPGA加速卡打造,可在云上实现FPGA加速业务的快速研发、安全分发、一键部署和弹性伸缩,为人工智能产业、图片视频转码、基因计算提供加速服务,在特定场景下的处理效率比CPU高百倍。官网显示F3采用阿里云自研超高性能FPGA加速卡,搭载Xilinx 16...

2018-05-08 19:41:59 1434

转载 H.264/H.265 视频编码解码器单元产品指南

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们面向 Zynq UltraScale+ MPSoC 器件的 Xilinx® LogiCORE™ IP H.264/H.265 视频编解码器单元 (VCU) 内核能够以 60Hz 的像素对分辨率高达 4k 的视频进行同步压缩和解压缩。分辨率高出 4K 时,支持较低的帧速率。H.264/H.265 功能性可按嵌入式硬 IP 在 Zynq Ultra...

2018-05-08 19:41:12 1758

转载 基于FPGA的CNN算法移植(五)算法优化方向汇总

更多精彩内容,请微信搜索“FPGAer俱乐部”关注我们 以下内容为QQ聊天整理,以及网络资料整理。本人不懂算法,如有纰漏,还请指正。       以下才是真正意义上的优化,有时候我们在面试的时候遇到招 FPGA算法优化工程师,糊弄起来,是,我们用FPGA对算法实现了优化加速,其实不是真正意义上的算法优化。但是如果你面试的时候说了实话,说自己不会做算法优化,不好意思你很可能会被立马刷下来。哈哈哈,是...

2018-05-08 19:39:38 2011

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除