自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

啊林博客

啊林博客

  • 博客(47)
  • 资源 (4)
  • 收藏
  • 关注

原创 【Linux软件安装】-Linux安装JavaJDK1.8

1.检查环境JDK1.8Centos7.3查看是否安装了JDK1、java -version2、which java3、rpm -qa |grep java4、echo $PATH 5、find / -name java查看发行版本[root@izf007qmp41w5wz ~]# cat /etc/redhat-releaseCentOS Linux release 7.3.1611 (Core) 如果是自己在虚拟机上安装的centos7.X 或者 centos 6.X ,

2020-07-24 16:04:37 710

原创 [vue/require-v-for-key] Elements in iteration expect to have 'v-bind:key' directives.eslint-plugin-v

场景在写vue项目,写到v-for循环的时候出现了[vue/require-v-for-key]Elements in iteration expect to have 'v-bind:key' directives.eslint-plugin-vue原因是:v-for在Eslint的规则检查下报错。解决报错中说:Elements in iteration ex...

2019-07-11 17:03:21 15356 7

原创 腾讯地图发送定位-打开定位功能

用到的是腾讯地图API,主要的功能:1.选择地址发送(地图选址组件 https://lbs.qq.com/tool/component-picker.html)2.实时地址静态图(https://lbs.qq.com/static_v2/index.html)3.地图调起 (https://lbs.qq.com/uri_v1/guide.html)手机查看选址组件主...

2019-01-28 15:06:07 5809

原创 Java使用poi-tl导出word文档简历总结

1.poi-tl只支持docx格式的文档,不支持doc格式The supplied data appears to be in the OLE2 Format. You are calling the part of POI that deals with OOXML (Office Open XML) Documents. You need to call a different part ...

2019-01-28 13:51:50 4496 4

原创 IDEA新建SpingMVC项目

点击文件-》new-》项目 这里如果出现错误可以看IDEA新建Maven项目时报错 pom.xml添加依赖包:<dependencies> <!--测试--> <dependency> <groupId>junit</groupId> ...

2019-01-08 23:22:28 333

原创 中级软件设计师-软考心得

个人情况在准备这个考试时,11月份10的考试,我大概在10月份10号左右开始全心投入准备,中间穿插上课和在学校帮老师做项目。我个人觉得花不到一个月时间拿这本证书是值得的。我在准备这个考试之前的个人基础情况,首先要明确这个软考具体考什么内容。软件工程:上过这方面的课程,但是由于上课的内容确实。。所以在准备考试时候我这方面可以说是没基础的。面向对象:这方面我觉得作为一个程序员,...

2018-12-23 17:19:29 16735 3

原创 IDEA新建Maven项目时报错

E:\Java\jdk1.7.0_80\bin\java.exe -Dmaven.multiModuleProjectDirectory=C:\Users\job012\AppData\Local\Temp\archetype1tmp "-Dmaven.home=E:\IntelliJ IDEA 2018.3.1\plugins\maven\lib\maven3" "-Dclasswor...

2018-12-14 09:40:16 5710 3

原创 java.sql.SQLException: Access denied for user '0010'@'localhost' (using password: YES)

 这个错误是在Spring整合Mybatis过程中出现的,主要是C3P0在连接数据库的时候出现了错误。网上类似的错误很多,但是造成的问题却很多种,共同点就是都是在连接数据库的配置文件中出错。 警告 [com.mchange.v2.async.ThreadPoolAsynchronousRunner$PoolThread-#1] com.mchange.v2.resourcepool.Basi...

2018-12-14 09:16:09 1193

原创 Spring之数据格式化

创建实体类在每一个字段上面添加对应格式化的注解package com.alin.AnnotationFormatter;import java.io.Serializable;import java.util.Date;import org.springframework.format.annotation.DateTimeFormat;import org.springfr...

2018-12-09 20:20:22 763

原创 Myeclipse+Maven新建SpringMVC项目

新建Maven项目文件->新建->其他 配置构建路径这个要看个人情况,看你是用那个JRE运行环境。添加项目依赖主要加载Spring相关的一些包。<project xmlns="http://maven.apache.org/POM/4.0.0" xmlns:xsi="http://www.w3.org/20...

2018-12-09 17:49:25 1174

原创 Spring之数据校验-JSR303

在Myeclipse+Maven新建SpringMVC项目基础上继续编写代码添加依赖包 <!-- https://mvnrepository.com/artifact/org.hibernate.validator/hibernate-validator --><dependency> <groupId>org.hibernate.validato...

2018-12-09 17:26:25 331

原创 Spring之数据校验-Validation校验

前言SpringMVC提供了强大的数据校验功能,其中有两种方式可以验证输入:一种是利用Spring自带的Validation校验框架;另一种是利用JSR303(Java验证规范)实现校验功能在Myeclipse+Maven新建SpringMVC项目基础上继续编写代码。步骤编写校验对象package com.alin.Validation;import ...

2018-12-08 17:22:03 1048

原创 pom.xml复制过来的代码报错-Maven expected START_TAG or END_TAG not TEXT (positionTEXT se

场景编译器:IDEA在网上看一些小实例,跟着做的时候会复制pom.xml文件的代码来加载依赖包。首先需要确定你复制过来的代码本身是没有错的,在复制一些pom.xml文件代码时,有时候会报错。原因是复制过来的代码格式出现了错误并不是代码本身的问题。并报了如下的错误:Element 'project' cannot have character [children], bec...

2018-12-07 15:07:18 4965 1

原创 Caused by java.lang.NoClassDefFoundErrorjavassistClassPath

 错误显示E:\Java\jdk1.7.0_80\bin\java.exe "-javaagent:E:\IntelliJ IDEA 2018.3.1\lib\idea_rt.jar=58584:E:\IntelliJ IDEA 2018.3.1\bin" -Dfile.encoding=UTF-8 -classpath E:\Java\jdk1.7.0_80\jre\lib\chars...

2018-12-07 14:54:59 3079

原创 Mybatis的核心组件

Mybatis的核心组件分为四个部分:SqlSessionFactoryBuilder (构造器):它会根据配置或者代码来生成 SqISessionFactory,采用的是分步构建的 Builder 模式。SqlSessionFactory (工厂接口):依靠它来生成 SqlSession,使用的是工厂模式。SqlSession (会话): 一个既可以发送 SQL 执行返回结果,也可...

2018-10-11 15:27:16 7333

原创 使用IDEA创建的mybatis通过mapper接口加载映射文件

ennnn这个标题有点长,但是好像必须取这个长,关键词:IDEA、mybatis、接口、映射文件我也是不小心掉进这个坑的,花了一下午搞定明白。在写Mybatis项目中,我们知道在mybatis配置文件中需要加载mapper映射文件。加载映射文件有四种方式:1.resource方式加载映射文件<mappers> <!-- 这是resource方式加载配置...

2018-10-10 21:51:12 10903 6

原创 IDEA创建MyBatis项目--实现简单的查操作

本篇文章只是为了快速创建一个Mybatis实现查的项目,并没有对知识点进行讲。我个人认为学习框架先是动手实现一下,先不用管为什么要这么做,等运行成功后再去琢磨比较容易懂和记得牢。通过maven加载Mybatis依赖包 <dependencies> <dependency> <groupId>org...

2018-10-10 10:33:52 10220 2

原创 IDEA新建mybatis-config.xml配置文件

 在使用IDEA使用Mybatis时,在把mybatis所依赖的包通过Maven加载后,就要新建mybatis-config.xml配置文件。我以为是可以直接通过右键然后创建mybatis-config.xml文件,事实证明,我找不到(还是真的我找不到)。我们来把Mybatis-config.xml配置文件的模板配置到IDEA中,方便以后使用。如果使用新建文件的方式配置m...

2018-10-09 18:11:16 26054 4

原创 JVM-垃圾收集算法

标记-清除算法(Mark-Sweep)最基础的收集算法就是“标记-清除”算法,如同名字一样,算法分两个阶段标记和清除。首先标记出所有需要回收的对象,在标记完成后统一回收所有被标记的对象。两个不足之处:效率问题,标记和清除两个过程的效率都不高。 空间问题,标记清除之后会产生大量不连续的内存碎片,空间碎片太多可能会导致以后在程序运行过程中需要分配较大对象时,无法找到足够的连续...

2018-09-03 22:23:31 182

原创 JVM-判断对象死活

Java虚拟机的内存管理机制其实就是在堆和方法区中,对已经“死去”的对象进行内存回收,也可以说是释放这些对象的内存。所谓“死去”的对象,其实就是这些对象没有被其他任意一个对象所引用(这句话对应着引用计数算法,其实说得不是很准确,所以引用计数算法引用不多)。比较准确的说法是,“死去”的对象都跟一个指定区域内的对象没有任何联系(也就是根对象),就是跟这个指定区域里面的对象隔绝。判断一个对象是否已经...

2018-09-03 20:39:51 193

原创 JVM-垃圾收集器

如果说收集算法是内存回收的方法论,那么垃圾收集器就是内存回收的具体实现。在了解这些收集器的时候,要了解每一种收集器是单线程还是多线程、采用的是什么算法、优缺点。了解这些特征,就比较好了解这些收集器在相应场景的具体作用。以下的图是HotSpot虚拟机的垃圾收集器。如果两个收集器之间存在连线,就说明它们可以搭配使用。虚拟机所处的区域,则表示它是属于新生代收集器还是老年代收集器。新...

2018-09-03 18:23:39 157

原创 JVM-Java内存区域

1.为什么要了解JVM?        不知道你是否写过C++和C语言的程序,当你用new创建一个对象的时候,就必须去写配对的delete/free代码,来释放该对象的内存。这是因为C++和C语言对象的内存都是由程序员来管理的,也就是承担着每一个对象生命开始到终结的维护责任。       来看这篇文章的朋友肯定写过Java程序,在写Java程序中,我们并没有看到delete/free代码来...

2018-08-31 16:39:34 182

原创 重温Java基础(四)

1.接口接口的访问权限跟类是一样的,只有Public和友好接口,不能用private和protected修饰。 接口分:接口声明和接口体 接口体中包括常量和抽象方法,没有变量,也没有普通方法。 接口体中的所有常量的访问权限一定都是public而且是static常量(允许省略public、final和static修饰符) 接口体中的抽象方法的访问权限一定都是public(允许省略publ...

2018-08-14 21:53:11 299

原创 重温Java基础(三)

目录1.编程语言2.编程习惯3.方法重载4.访问权限5.继承6.重写7.上转型对象8.匿名类9.内部类1.编程语言面向机器语言属于低级语言,面向过程和面向对象语言为高级语言。面向对象语言的三个特性:封装,继承,多态。2.编程习惯类的命名:首字母大写,每个单词的首字母应大写。方法的命名:首字母小写,从第二个单词开始的其他单词首字母大...

2018-08-14 16:51:52 371 2

原创 重温Java基础(二)

目录1.关键字instanceofsuperfinalabstract2.数据类型3.类型转换运算4.数组5.运算符计算混合运算的精度:按位与运算:按位或运算:按位非运算:按位异或运算6.语句1.关键字instanceofinstanceof运算符是Java独有的双目运算符,其左面是操作元是对象,右面的操作元是类,当左面的操作...

2018-08-14 14:42:57 240

原创 重温Java基础(一)

目录1.Java名的来源2.Java的特点3.JDK4.Java应用程序5.反编译6.编程风格7.Java之父-James Gosling1.Java名的来源印度尼西亚有一个重要的盛产咖啡的岛屿叫Java,中文译名为爪哇,开发人员为这种新的语言起名为Java,其寓意是为世人端上一杯热咖啡。所以我们可以看到Java的图标是一杯咖啡的形状。2.Java的特点...

2018-08-12 01:27:58 436

原创 WebSocket+SpringBoot聊天室(二)

目录1.用户离开聊天室2.单聊和多聊3.项目源码1.用户离开聊天室跟用户进入聊天室一样,用户离开聊天室会触发OnClose,在OnClose广播我将要离开就可以了,思路跟进入聊天室是一样的,只是广播的内容变了。 @OnClose public void onClose(){ webSockets.remove(this); map...

2018-08-04 13:11:05 1500 2

原创 WebSocket+SpringBoot聊天室(一)

目录 1.项目展示2.知识要点3.用户列表1.项目展示具体功能:进入聊天室之前,需要先输入用户名再进入,聊天室中可以进行群聊,或者点击用户列表左边的CheckBox来制定给某些人发信息,或者一个人实现单聊。每个用户进入时会显示“欢迎**进入聊天室”,离开会显示“恭送**离开聊天室”项目在我上一篇博文中的项目中进行改进:https://blog.csdn.net/...

2018-08-04 11:14:43 3797 4

原创 浅谈WebSocket+SpringBoot例子

目录1.HTTP 升级特性2.使用HTTP升级的WebSocket协议3.WebSocket协议的优点4.WebSocket的众多用途5.SpringBoot+WebSocket例子                                         ​     1.HTTP 升级特性所谓的升级就是通过HTTP网络通讯协议升级到另一种网络通讯协议。比如:We...

2018-08-02 21:27:07 9465 7

原创 浅谈AOP面向切面

目录1.AOP和OOP有什么区别?2.如何理解AOP?3.AOP的应用4.SpringBoot例子在了解AOP面向切面之前,相信很多朋友都接触过:(POP) Procedure Oriented Pragramming 面向过程,面向过程的语言以C语言为代表(OOP)Object Oriented Pragramming 面向对象,面向对象的语言有很多,Java,C#,...

2018-07-31 15:10:52 2403 3

原创 Java之JDBC数据库连接+过滤器(四)

在插入数据到数据库时,有时候会出现乱码的现象,这是因为没有设置接收和响应时的编码,如果在每一个Servlet都设置接收和响应时的编码,那将会很繁琐,也不利于后期的维护,所以这里用到了过滤器来统一设置编码。 1.在filter层中,新建CharSetFilter类package com.ly.filter;import java.io.IOException;import ...

2018-07-31 11:32:33 920

原创 Java之JDBC数据库连接+列表展示和分页功能(三)

目录1.在UserDao接口中,添加Count方法2.在UserDaoImp类中实现这个方法3.在entity层中,添加PageUtil类4.在service层中,UserService接口添加代码5.在serviceImp层中,UserServiceImp类中添加代码6.在Controller中,添加ListByPageServlet类7.编写index.jsp8...

2018-07-31 10:03:21 1339

原创 Java之JDBC数据库连接+Controller和Service(二)

1.新建Service层,创建UserService接口package com.ly.service;public interface UserService { /** * 业务: 需求 * 注册需求 * 返回值: * 参数: */ public boolean register(String username,String ...

2018-07-30 16:58:26 1500

原创 Java之JDBC数据库连接+实体层和数据访问层(一)

目录1.新建Web Project 项目,建立Util工具包,新建JDBCUtil类,导入jdbc-connector-java包2.编写JDBCUtil类的相关内容3.右键JDBC类->运行方式->Java应用程序,测试是否连接成功         4.新建entity实体包,编写对应的实体类5.新建Dao层,创建UserDao接口6.新建DaoImp层,创建...

2018-07-28 14:54:49 4003

原创 MyEclipse在新建jsp时统一页面编码

  在用MyEclipse写JavaWeb时,会时不时右键创建一个JSP文件,但是在很多默认情况下,页面的编码是ISO-8859-1。  但我们开发的过程中更多用到的是UTF-8编码,为了避免每次创建JSP时,总是要改页面的编码。我改了JSP的页面默认编码。  因为MyEclipse的不同,有些版本的Files and Editors是在MyEclipse里面的。实在找不到就搜索一下。...

2018-07-07 22:29:17 224

原创 Java-Mbatis框架实现最基本的增删改(一)

运行环境:        数据库:Mysql        数据库管理工具:Navicat for MySQL        Java IDE:MyEclipse        框架:Mybatis-3.2.8大三狗暑假被学校要求做项目,后端要用到Java的SSM框架(Spring,Spring MVC,Mybatis)下面简单用Mybatis框架实现增删改操作。1.先用MyEclipse新建一个...

2018-07-07 21:43:44 411

原创 MyEclipse2017C5项目上传到码云

现在码云上面创建一个远程存储库,新建的时候先不要用Readme文件初始化这个项目,这样远程存储库在创建完的时候,是没有任何文件。我们可以在首次提交到远程存储库的时候,把本地存储库的内容直接推送到远程存储库。点击你要推送的项目,右键小组->共享项目因为码云是Git分布式版本控制系统,所以这里我们选择是Git点击创建,创建本地的存储库,test就是本地的存储库,一般都是一个项目一个本地存储库。把...

2018-06-25 21:43:28 835

原创 JQuery实现动态添加的标签元素的点击事件

这里所说的动态添加的元素的意思是:用js添加的标签元素先说一下我遇到的问题:想对一些数据实现分页,就自己写一个分页功能。用的是bootstrap的分页,在页面获取数据列表后,计算出需要分多少页展示,然后用js生成多个页码(是叫页码吗?)。生成后,点击某个页码跳到相应的页,这时肯定要有点击事件。问题来了,没有点击事件。(最初一脸黑人问号) 这是因为在注册click后,再用...

2018-05-09 17:09:15 29310 4

原创 ASP.NET MVC5 Excel导入总结

因为最近在做一个小项目,负责的是文件上传和Excel导入导出,在开发过程中也遇到挺多问题,主要是在修改的过程中,总觉得自己的代码太复杂,不利于后期的维护和修改,所以一直想尽办法进行封装。最终代码还是相对简洁一点。因为开发经验的不足,可能我最后说的相对简洁,就是你们一开始开发时的想法。但是学习过程就是这样吧。 描述一下项目的需求:其实也比较简单,做的是类似信息管理系统,多个页面都...

2018-04-23 22:01:32 5063 2

原创 ASP.NET MVC下通过JQuery$.ajax提交数据,控制器接收数据

今天在实现一个小功能时,这个是一个弹出的模态框,然后点击保存的时候,通过Ajax将文本框中的内容传到后台控制器,控制器执行相应的操作,在将内容保存到数据库。然后问题就出在用Ajax传到控制器的时候,控制器接收不到参数。因为写MVC也比较少,这方面不是很会,所以还是记录一下。一开始我的代码是这样写的:前端ajax代码:后端控制器:控制器方法写成:public JsonResult Update(st...

2018-04-15 22:55:54 13629

深入理解Java虚拟机 JVM高级特性与最佳实践

《深入理解Java虚拟机:JVM高级特性与最佳实践》共分为五大部分,围绕内存管理、执行子系统、程序编译与优化、高效并发等核心主题对JVM进行了全面而深入的分析,深刻揭示了JVM的工作原理。第一部分从宏观的角度介绍了整个Java技术体系、Java和JVM的发展历程、模块化,以及JDK的编译,这对理解本书后面内容有重要帮助。第二部分讲解了JVM的自动内存管理,包括虚拟机内存区域的划分原理以及各种内存溢出异常产生的原因;常见的垃圾收集算法以及垃圾收集器的特点和工作原理;常见虚拟机监控与故障处理工具的原理和使用方法。第三部分分析了虚拟机的执行子系统,包括类文件结构、虚拟机类加载机制、虚拟机字节码执行引擎。第四部分讲解了程序的编译与代码的优化,阐述了泛型、自动装箱拆箱、条件编译等语法糖的原理;讲解了虚拟机的热点探测方法、HotSpot的即时编译器、编译触发条件,以及如何从虚拟机外部观察和分析JIT编译的数据和结果;第五部分探讨了Java实现高效并发的原理,包括JVM内存模型的结构和操作;原子性、可见性和有序性在Java内存模型中的体现;先行发生原则的规则和使用;线程在Java语言中的实现原理;虚拟机实现高效并发所做的一系列锁优化措施。

2018-09-03

讲得很好容易懂得JVM视频

一份学习JVM虚拟机很好的视频,老师讲得非常清楚,是入门JVM很好的视频。

2018-08-16

C#计算机操作系统哲学家就餐问题

计算机操作系统中的哲学家就餐问题,用C#把整个过程可视化出来。

2018-06-25

C#局域网--人机对战五子棋

分享,仅参考!

2017-02-01

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除