自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Go Forward Forever!

If you believe you can do well

  • 博客(149)
  • 资源 (3)
  • 收藏
  • 关注

原创 基于FPGA的PCIe接口实现

摘要 PCI Express是一种高性能互连协议,被广泛应用于网络适配、图形加速器、网络存储、大数据传输以及嵌入式系统等领域。文中介绍了PCIe的体系结构,以及利用Altera Cyclone IV GX系列FPGA实现PCIe接口所涉及的硬件板卡参数、应用层系统方案、DMA仲裁、PCIe硬核配置与读写时序等内容。PCI Express(PCIe)是一种高性能互连协议,可应用于网络适配、图

2017-01-05 12:26:00 24185 3

原创 AXI4-Stream协议总结

AXI4-Stream去掉了地址项,允许无限制的数据突发传输规模;一、接口信号描述信号源描述ACLK时钟源全局时钟信号。所有信号在ACLK信号上升沿采样。ARESETn复位源全局复位信号。ARESETn低电平有效。TVALID主

2016-12-30 14:11:30 19447 2

转载 QSPI Flash的原理与QSPI时序的Verilog实现

本节主要是讨论QSPI(Quad SPI,四线SPI总线)的相关内容。我的开发板上有一片型号是W25Q128BV的Quad SPI Flash存储器,本文将以它为例子来说明QSPI操作的一些内容。

2024-04-24 16:35:58 45 1

原创 Altera FPGA 配置flash读写

Quartus中没有直接提供这个格式的文件,不过Quartus下用于Nios2开发的套件(nios2eda)中,有一个小工具:sof2flash在Nios2 Shell中启动这个工具,可以将sof文件转为.flash文件。查看这个.flash工具,就能发现这个文件的语法结构和MCS文件一样。

2024-04-24 15:43:45 819 1

转载 VIVADO网表文件DCP文件的封装及调用

DCP网表文件的作用:加密:可以保护自己的知识产权,当不想共享自己的源文件时,可以将源文件生成DCP网表文件交付他人。压缩:DCP网表文件是可以将多个源文件压缩成一个DCP网表文件。

2024-03-12 13:13:26 316

原创 win10 active

win10 active

2024-03-12 10:45:47 356

转载 Xilinx XPM

Xilinx xpm

2024-03-02 20:00:19 74

原创 简单了解USB通信协议

​在一个USB通信系统中,只能有一个主机存在,USB通信只存在于主机和设备之间,每次通信都必须由主机发起,而设备和设备之间无法通信。

2024-02-23 15:17:51 858

转载 USB-HID 设备扫盲

这是一篇关于USB协议的分析,重点分析HID(human interface device)类设备。

2024-02-23 11:30:51 80

原创 Sublime text 3 配置

然后:安装一个汉化插件:ChineseLocalizations 到sublime text3中,操作:Ctrl+Shift+P打开命令面板,找到Install Package 点击打开,会加载一会,稍等一下,输入ChineseLocalizations 到输入框,会提示如下内容,你会发现已经汉化好了,是不是如此这般简单。其它以默认设置安装。首先:在sublime text3中 Ctrl+Shift+P打开命令面板,找到 Install Pachage Control,点击回车出现下面提示,表示安装成功。

2024-02-22 22:36:31 793 2

转载 sublime text3配置ctrl+鼠标左键进行函数跳转

点击Preferences->Browse Packages进入Packages目录,然后打开User目录,查看User目录里面有没有Default (Windows).sublime-mousemap文件,如果没有则创建一个。如果保存文件名为Default (Windows).sublime-mousemap没有效果可以试试保存文件为Default.sublime-mousemap。版权声明:本文为博主原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。

2024-02-22 22:24:58 156

原创 Motor Control IP Blocks

Motor Control IP Blocks

2024-02-21 17:46:00 1014

原创 液晶偏振光栅

光是横波.在垂直于光的传播方向的平面内光波振动(即E矢量振动)各方向振幅都相等的光为自然光;只在某一方向有光振动的光称为线偏振光;各方向光振动都有,但振幅不同的光叫部分偏振光.螺旋着振动的光称圆偏振光,分旋和右旋

2024-01-16 17:43:26 1094

原创 基于Mcrosemi M2S090T FPGA 的 imx991 SWIR的SLVS解码(一)

本工程使用适用于航天级的Microsemi Smartfusion FPGA对Sony 公司的SWIR,短波红外相机传感器,使用SLVS数据总线,进行解码,输出图像信号。

2024-01-16 16:32:04 1204

原创 红外线波长分类

红外线按波长分类

2023-11-24 09:41:25 456

原创 浅析SVPWM调制技术

MC,电机控制

2023-11-15 15:14:19 479

转载 Xilinx 7 位置约束 LOC 语法之IN_FIFO

关于IN_FIFO OUT_FIFO位置约束

2023-09-18 15:20:48 569

转载 Canny边缘检测算法原理及其VC实现详解(一)

图象的边缘部分集中了图象的大部分信息,图象边缘的确定与提取对于整个图象场景的识别与理解是非常重要的,同时也是图象分割所依赖的重要特征,边缘检测主要是图象的灰度变化的度量、检测和定位,自从1959提出边缘检测以来,经过五十多年的发展,已有许多中不同的边缘检测方法。结论是:实现图像的边缘检测,就是要用离散化梯度逼近函数根据二维灰度矩阵梯度向量来寻找图像灰度矩阵的灰度跃变位置,然后在图像中将这些位置的点连起来就构成了所谓的图像边缘(图像边缘在这里是一个统称,包括了二维图像上的边缘、角点、纹理等基元图)。

2023-09-14 15:10:41 496

原创 Canny图像算法仿真

另一个是不容易找出错误,因此,有必要模拟一个视频时序,用来验证算法,并有效的利用Matlab工具把静态图片“打散”保存到txt文本里,供Modesim读取,然后通过Matalb“复现”处理后的文本。我们在前面的关于《图像梯度》文章中有所介绍,计算图像梯度能够得到图像的边缘,因为梯度是灰度变化明显的地方,而边缘也是灰度变化明显的地方。因为灰度变化的地方可能是边缘,也可能不是边缘。通常灰度变化的地方都比较集中,将局部范围内的梯度方向上,灰度变化最大的保留下来,其它的不保留,这样可以剔除掉一大部分的点。

2023-09-14 14:52:23 98

原创 关于激光探测器光斑质心算法在FPGA硬件的设计

在接收到read_en脉冲信号后,发出数据请求信号data_req,data_req拉高后输入dec_in数据信号,由于需要求出一帧图像的最大图像数据,所以会有一帧图像的延迟,当输出图像数据有效data_valid信号拉高时,输出去噪后有效的pixel_data数据,在data_valid信号拉高后输出的pixel_data数据会传到质心算法模块中进行计算。此时开始进行激光光斑的采集,CCD所采集的每幅图数据为h[m][n],进行去噪声处理,将CCD所采集的数据与采集算好的噪声相减。第二步:去噪声的实现。

2023-09-11 16:13:55 874 1

转载 高斯拉普拉斯算子

由于Laplace算子是通过对图像进行微分操作实现边缘检测的,所以对离散点和噪声比较敏感。于是,首先对图像进行高斯卷积滤波进行降噪处理,再采用Laplace算子进行边缘检测,就可以提高算子对噪声和离散点的鲁棒性,如此,拉普拉斯高斯算子Log(Laplace of Gaussian)就诞生了。Laplace算子作为一种优秀的边缘检测算子,在边缘检测中得到了广泛的应用。版权声明:本文为CSDN博主「Belial_2010」的原创文章,遵循CC 4.0 BY-SA版权协议,转载请附上原文出处链接及本声明。

2023-09-11 14:19:47 449

原创 亚像素边缘提取的例子

亚像素边缘提取

2023-09-11 14:01:03 113

转载 Sony图像传感器SubLVDS与SLVS-EC接口FPGA开发

SLVS与SLVS-EC接口的CMOS主要是IMX3XX系列的一部分型号,IMX4XX系列和IMX5XX系列,例如IMX342,IMX387,IMX421,IMX422,IMX430,IMX437、IMX542等。对于SLVS-EC接口,时钟内嵌在数据流中,需要调用GTP或GTX模块完成恢复时钟、同步码对齐、8b/10b解码、数据解串等功能,FPGA开发难度较Sub-LVDS和SLVS接口复杂了很多。其中Sub-LVDS和SLVS接口可直接与FPGA的普通IO的P、N对引脚连接,组成差分对。

2023-08-01 09:44:08 1544 5

原创 Libero SOC中input信号初优化掉的问题

2023-07-31 15:49:07 122

原创 PCIE_DMA实例二:xapp1052的EDK仿真

对于有的同学,想要学习基于FPGA的PCIe DMA控制器设计,但是手上没有合适的Xilinx开发板,而且xapp1052又没有提供仿真代码,学习陷入了困境。此文章用EDK搭建一个微小系统,然后用modelsim来仿真xapp1052的DMA收发控制,这样应该是最全面的理解PCIe_DMA了,希望对大家都有帮助。

2023-04-21 15:48:12 767 1

原创 三星DDR3型号规则

三星ddr3 K4BG41646D-BACK0

2023-04-20 21:23:30 508

原创 PCIe Protocol Basics

PCIe Protocol Basics

2023-04-20 17:46:49 437

原创 Xilinx 7 系列 serdes速度

Xilinx 7 系列 serdes速度

2023-04-19 14:02:32 366

原创 在 FPGA 上如何实现双线性插值的计算?

本文主要讨论了如何在FPGA上实现双线性插值的计算。Interp和Resize是Yolo_v2,Yolo_v3和Faster R-CNN等目标检测网络的关键层。主要的作用是使得图片的放大和缩小过程变得更为平滑。

2023-04-13 14:13:35 1463 1

原创 Caffe

​Caffe(全称Convolutional Architecture for Fast Feature Embedding)是一个兼具表达性、速度和思维模块化的深度学习框架,由伯克利人工智能研究小组和伯克利视觉和学习中心开发。虽然其内核是用C++编写的,但Caffe有Python和Matlab相关接口。

2023-04-13 13:32:56 235

原创 一些常用芯片

各类IC芯片

2023-04-06 16:31:35 1085

转载 如何学习FPGA

一、入门首先要掌握HDL(HDL=verilog+VHDL)。二、独立完成中小规模的数字电路设计。三、掌握设计方法和设计原则。四、学会提高开发效率。五、增强理论基础。六、学会使用MATLAB仿真。七、足量的实践。八、图像处理。(这部分只写给想学图像处理的朋友,也是由浅入深的路线)九、数电的尽头是模电。十、学无止境。十一、其它问题。

2023-04-04 17:04:05 862 2

原创 FPGA从SRAM取数据发送给ARM的时序图

FPGA中SRAM写入数据,写完后中断给ARM,然后从SRAM中读取数据给ARM

2023-03-31 15:24:20 203

原创 通过Avalon-mm slave 写ddr2 verilog

例化ddr_wr #(.EW (8 ),.IW (64 )) u_wr0(

2023-03-31 15:02:36 333

转载 自适应线性神经网络(Adaline)

学习率设置为0.01的时候,结果如左图,均方误差最小的点是第一个点,然后越来越大。当学习率设置为0.0001的时候,结果如右图,误差在逐渐减小,但是没有收敛的趋势。Adaline算法是基于全部的训练数据,而感知器算法是每个样本都要计算一次误差,Adaline的处理方法有点像批处理的感觉。寻找最小均方误差就像下山一样,每次算法循环都相当于下降一步,下降一步的歩幅取决于学习率,与图中的权值点的切线斜率相关。量化函数:类似Rosenblatt模型的激活函数,能预测对应输入的类别。对比学习率对于误差的影响。

2023-03-31 10:20:32 663

原创 红外图像的高斯滤波模块verilog

数值图像处理中,高斯滤波主要可以使用两种方法实现。一种是离散化窗口滑窗卷积,另一种方法是通过傅里叶变化。最常见的就是滑窗实现,只有当离散化的窗口非常大,用滑窗计算量非常大的情况下,可能会考虑基于傅里叶变化的实现方法。所以本文将主要介绍滑窗实现的卷积。

2023-03-29 14:29:15 490

原创 PICO640红外探测器配置模块verilog

Ulis pico 640红外探测器配置模块

2023-03-28 13:47:36 1122 4

转载 python之socket编程

ocket起源于Unix,而Unix/Linux基本哲学之一就是“一切皆文件”,对于文件用【打开】【读写】【关闭】模式来操作。socket就是该模式的一个实现,socket即是一种特殊的文件,一些socket函数就是对其进行的操作(读/写IO、打开、关闭)

2023-03-16 18:00:25 1420

原创 petalinux创建linux环境的步骤

petalinux创建linux环境的步骤

2023-03-15 16:14:09 133

原创 xilinx vivado 生成flash下载文件

xilinx vivado 生成flash下载文件

2023-03-02 10:52:28 320

PLL altera cyclone平台参数化调用pll核

The PLL cores support the following features: • All PLL features provided by Altera's ALTPLL megafunction. The exact feature set depends on the device family. • Access to status and control signals via Avalon Memory-Mapped (Avalon-MM) registers or top-level signals on the SOPC Builder system module. • Dynamic phase reconfiguration in Stratix III and Stratix IV device families. The PLL output clocks are made available in two ways: • As sources to system-wide clocks in your SOPC Builder system. •

2023-04-04

MCP3425 ADC配置和数据读取源码FPGA/VHDL

MCP3425是采用小型SOT-23-6封装的单通道低噪声、 高精度、差分输入 ΔΣ A/D 转换器,分辨率最高 16 位。 片内精密 2.048V 参考电压使得差分输入电压范围为 ±2.048V(Δ 电压 = 4.096V)。器件可使用 2 线 I 2C 兼容串行接口,并采用 2.7V 至 5.5V 的单电源供电。 用户通过2线I2C串行接口对可控制的配置位进行设置, 从而 MCP3425 器件可按每秒 15、 60 或 240 次采样 (samples per second, SPS)的速率执行转换。此器 件具有片内可编程增益放大器 (PGA),用户可在模数 转换开始之前,选择 PGA 增益为 x1、x2、x4 或 x8。这 允许 MCP3425 器件以较高的分辨率转换较小的输入信 号。该器件有两种转换模式:(a) 连续转换模式和 (b) 单 次转换模式。在单次转换模式下,器件在一次转换之后 自动进入低电流待机模式,这样可以显著降低空闲期间 的电流消耗。 MCP3425 器件可用于各种要求设计简单、低功耗和小 体积的高精度模数数据转换应用中。

2023-04-04

ddr2 读写模块verilog

ddr2 读写模块verilog,基于Qys,LPDDR2 SDRAM Controller、Avalon-MM Pipeline Bridge slave接口

2023-03-31

红外图像的高斯滤波模块verilog

红外图像的高斯滤波,分辨率320*240,行像素增加到400,altera cyclone平台可用  数值图像处理中,高斯滤波主要可以使用两种方法实现。一种是离散化窗口滑窗卷积,另一种方法是通过傅里叶变化。最常见的就是滑窗实现,只有当离散化的窗口非常大,用滑窗计算量非常大的情况下,可能会考虑基于傅里叶变化的实现方法。所以本文将主要介绍滑窗实现的卷积。   离散化窗口划船卷积时主要利用的是高斯核,高斯核的大小为奇数,因为高斯卷积会在其覆盖区域的中心输出结果。常用的高斯模板形式: 1 2 1 1/16 * 2 4 2 1 2 1

2023-03-29

PICO640红外探测器配置模块verilog

PICO640红外探测器配置模块verilog

2023-03-28

瑟尔发电机SEG和IGV

瑟尔于十四岁时就开始制造第一部瑟尔效应发电机,以实现他的梦想。这个装置是由三个呈同心圆排列的环状体所构成,每个环状体由四种不同的材质组成,而这四种材质也以同心圆的方式彼此紧附在一起。这三个环状体固定在一个基座上,环绕每个环状体的是可以绕着它们自由旋转的滚筒,一般最里层的环状体有12个滚筒,中间那层有22个,而最外层则有32个。滚筒的外围是线圈,连接不同的配置结构,可以供应交流电或直流电。 早在1965年,瑟尔就结合了SEG的技术,制造出反地心引力的碟型飞行器(IGV;Inverse GravityVehicles),并完成飞行测试。IGV可以制造成任何尺寸,而且半小时内就可以从英国飞抵日本,时速高于一万九千公里!此外,这种碟型飞行器也很环保。

2023-02-11

ZYNQ AX7020 PL读写PS端 DDR 数据 vivado

PL 和 PS 的高效交互是 zynq 7000 soc 开发的重中之重,我们常常需要将 PL 端的大量数 据实时送到 PS 端处理,或者将 PS 端处理结果实时送到 PL 端处理,常规我们会想到使用 DMA 的方式来进行,但是各种协议非常麻烦,灵活性也比较差,本节课程讲解如何直接通过 AXI 总 线来读写 PS 端 ddr 的数据,这里面涉及到 AXI4 协议,vivado 的 FPGA 调试等。

2023-01-17

基于FPGA的AM调制与解调(Verilog语言)

一、概述 通过FPGA实现AM信号的产生与解调。要求是通过VIO控制载波频率、调制信号频率、调制深度可调,然后通过ILA观察AM信号和解调后的信号。载波信号的频率要求是1M~10M,调制信号的频率要求是1K~10K,调制深度从0到1、步进0.1。VIO与ILA只能通过硬件板卡实现。 二、平台 软件:Vivado 2017.4 硬件:ALINX ZYNQ AX7020 三、要求 为了更好的说明下面一些参数设定的意义,把我们课程的部分要求贴上来 完成AM信号调制和解调功能,具体要求如下: (1)载波信号频率范围:1M-10MHz,分辨率0.01MHz; (2)调制信号为单频正弦波信号,频率范围:1kHz-10kHz,分辨率0.01kHz; (3)调制深度0-1.0,步进0.1,精度优于5%; (4)调制信号和解调信号位宽为8位,AM信号16位,其他信号位宽自定义。 四、原理 虽然这部分简单,但却是最最重要的,把这部分看懂,所有的程序也就明白了。 1. AM信号:(A+ma*cos(w0t))*cos(wct) ———————————————— 版权声明:

2023-01-17

quartus IP核 license.dat

此 license.dat文件中念有大量IP核的资源. 使用方法: 1、用记事本打开.dat文件。 2、开始->运行里输入 cmd 回车 3、输入 ipconfig /all 回车,找到你当前使用的网卡,查看mac address 4、将dat文件中的一串X用mac address替换。 5、打开quartus, 选择工具license setup, 在licese file: 选择.dat文件,注意不要有中文路径。

2022-12-21

Quartus-prime-standard-18.1-骏龙文件

Quartus_prime_standard_18.1_骏龙和谐文件 Quartus Prime Standard 18.1.0.625开发软件提供了系统级可编程单芯片(SOPC)设计一个完整的设计环境。Quartus Prime软件包括了您设计英特尔 FPGA、SoC 和 CPLD 所需的一切,从设计输入和合成直至优化、验证和仿真各个阶段。借助数百万个逻辑元件大幅增强器件的功能,为设计师提供把握下一代设计机遇所需的理想平台。Intel Quartus Prime 18.1版本已从可用性角度对某些功能进行了增强,包括现在Platform Designer可以通过引用子系统和 IP 元件的仿真信息来生成分层仿真脚本,而不需要遍历系统层次结构;您现在可以使用 Verilog 语法将 Platform Designer 中的端口与线路级接口相连接。

2022-12-21

步进电机驱动verilog模块

步进电机驱动verilog模块 module step_motor_control( input clk, input clk_1ko, input rst_n, input cw_en, input ccw_en, input [1:0] velocity, output reg motor1_p, output reg motor1_n, output reg motor2_p, output reg motor2_n, output reg cw_run_one_step, output reg ccw_run_one_step )

2022-09-30

altera_pll IP核参数化直接调用

altera_pll IP核参数化直接调用 altera_pll #( .fractional_vco_multiplier("xxx"), .reference_clock_frequency("xxxxxx"), .operation_mode("xxxx"), ..........

2022-09-22

VC(MFC)编写串口调试助手(含VC6工程源文件)

开发平台Visual C++6.0英文版,电脑是i7-2670Q四核8G内存1G独显的笔记本,装的win10 64位,因此VC6兼容不是太好,有些小毛病,不过不影响编写。 基本功能: 1.自动寻找串口,并自动添加到下拉框中共选择; 2.有波特率、数据位、停止位、校验位的选择设置; 3.串口打开控制按钮; 4.发送、清除按钮; 5.接收是自动实现的; 6.有定时自动发送功能; 7.有传送文件功能; 8.有状态栏显示,指示串口状态,设置参数和发送接收显示。

2022-09-21

使用laplacian算子求梯度的verilog模块

// 使用laplacian算子求梯度的verilog模块 // 基于altera FPGA 模块中用到了 altshift_taps IP核心,使用方法参考官方文档 // delay_1t_16bits 数据延迟一个周期

2022-09-21

840Dsl简明调试手册

840Dsl简明调试手册

2014-04-21

step7 v5.5 _key

simens step7 v5.5 破解程序

2013-11-09

VC++仓库管理

VC++仓库管理,很简单的一个小例子,仅供初学都参考!

2012-12-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除